Index of /alpine/edge/testing/s390x
Name
Last modified
Size
Description
Parent Directory
-
9base-6-r2.apk
2024-10-25 20:29
2.1M
9base-doc-6-r2.apk
2024-10-25 20:29
64K
9base-troff-6-r2.apk
2024-10-25 20:29
498K
a2jmidid-9-r3.apk
2024-10-25 20:29
30K
a2jmidid-doc-9-r3.apk
2024-10-25 20:29
4.2K
abc-0_git20240102-r0.apk
2024-10-25 20:29
5.2M
ace-of-penguins-1.4-r3.apk
2024-10-25 20:29
172K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 20:29
49K
adjtimex-1.29-r0.apk
2024-10-25 20:29
20K
adjtimex-doc-1.29-r0.apk
2024-10-25 20:29
7.1K
admesh-0.98.5-r0.apk
2024-10-25 20:29
27K
admesh-dev-0.98.5-r0.apk
2024-10-25 20:29
4.0K
admesh-doc-0.98.5-r0.apk
2024-10-25 20:29
23K
advancemame-3.9-r4.apk
2024-10-25 20:29
12M
advancemame-data-3.9-r4.apk
2024-10-25 20:29
5.8M
advancemame-doc-3.9-r4.apk
2024-10-25 20:29
374K
advancemame-menu-3.9-r4.apk
2024-10-25 20:29
845K
advancemame-mess-3.9-r4.apk
2024-10-25 20:29
3.8M
advancescan-1.18-r1.apk
2024-10-25 20:29
286K
advancescan-doc-1.18-r1.apk
2024-10-25 20:29
7.3K
afetch-2.2.0-r1.apk
2024-10-25 20:29
8.4K
afetch-doc-2.2.0-r1.apk
2024-10-25 20:29
14K
agrep-0.8.0-r2.apk
2024-10-25 20:29
8.4K
agrep-doc-0.8.0-r2.apk
2024-10-25 20:29
4.1K
alttab-1.7.1-r0.apk
2024-10-25 20:29
40K
alttab-doc-1.7.1-r0.apk
2024-10-25 20:29
10K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 20:29
14K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 20:29
9.6K
amiitool-2-r2.apk
2024-10-25 20:29
7.0K
amule-2.3.3-r13.apk
2024-10-25 20:29
4.5M
amule-doc-2.3.3-r13.apk
2024-10-25 20:29
281K
amule-lang-2.3.3-r13.apk
2024-10-25 20:29
1.6M
anarch-1.0-r1.apk
2024-10-25 20:29
113K
anarch-doc-1.0-r1.apk
2024-10-25 20:29
18K
anari-sdk-0.7.2-r0.apk
2024-10-25 20:29
309K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 20:29
59K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 20:29
188K
android-apkeep-0.17.0-r0.apk
2024-10-25 20:29
2.1M
angband-4.2.5-r0.apk
2024-10-25 20:29
23M
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 20:29
64K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 20:29
8.1K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 20:29
10K
apache2-mod-realdoc-1-r1.apk
2024-10-25 20:29
4.6K
apk-snap-3.1.1-r0.apk
2024-10-25 20:29
6.6K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 20:29
20K
apt-dater-1.0.4-r4.apk
2024-10-25 20:29
61K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 20:29
9.9K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 20:29
13K
apt-mirror-0.5.4-r0.apk
2024-10-25 20:29
9.4K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 20:29
4.6K
aqemu-0.9.4-r3.apk
2024-10-25 20:29
1.6M
aqemu-doc-0.9.4-r3.apk
2024-10-25 20:29
7.5K
arc-icon-theme-20161122-r0.apk
2024-10-25 20:29
4.4M
arj-0_git20220125-r1.apk
2024-10-25 20:29
159K
arj-doc-0_git20220125-r1.apk
2024-10-25 20:29
10K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 20:29
1.6M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 20:29
92K
aspell-es-1.11-r0.apk
2024-10-25 20:29
541K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 20:29
398K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 20:29
79K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 20:29
69K
atomicparsley-20240608-r0.apk
2024-10-25 20:29
125K
atool-0.39.0-r4.apk
2024-10-25 20:29
18K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 20:29
2.0K
atool-doc-0.39.0-r4.apk
2024-10-25 20:29
9.6K
aufs-util-20161219-r3.apk
2024-10-25 20:29
203K
aufs-util-dev-20161219-r3.apk
2024-10-25 20:29
1.5K
aufs-util-doc-20161219-r3.apk
2024-10-25 20:29
34K
autoconf-policy-0.1-r0.apk
2024-10-25 20:29
5.5K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 20:29
35K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 20:29
12K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 20:29
54K
autotrash-0.4.7-r0.apk
2024-10-25 20:29
23K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 20:29
14K
avarice-2.14-r4.apk
2024-10-25 20:29
105K
avarice-doc-2.14-r4.apk
2024-10-25 20:29
9.4K
avra-1.4.2-r0.apk
2024-10-25 20:29
42K
avra-dev-1.4.2-r0.apk
2024-10-25 20:29
255K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 20:29
772K
backup-manager-0.7.15-r1.apk
2024-10-25 20:29
55K
bakelite-0.4.2-r0.apk
2024-10-25 20:29
39K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 20:29
129K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 20:29
2.8K
base64c-0.2.1-r0.apk
2024-10-25 20:29
4.5K
base64c-dev-0.2.1-r0.apk
2024-10-25 20:29
5.5K
bchunk-1.2.2-r3.apk
2024-10-25 20:29
7.3K
bchunk-doc-1.2.2-r3.apk
2024-10-25 20:29
3.0K
bdfr-2.6.2-r1.apk
2024-10-25 20:29
131K
beard-0.4-r0.apk
2024-10-25 20:29
3.1K
beard-doc-0.4-r0.apk
2024-10-25 20:29
2.5K
bees-0.10-r2.apk
2024-10-25 20:29
292K
bees-openrc-0.10-r2.apk
2024-10-25 20:29
1.9K
bestline-0.0_git20211108-r0.apk
2024-10-25 20:29
22K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 20:29
1.7K
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 20:29
18M
bgpq4-1.15-r0.apk
2024-10-25 20:29
34K
bgpq4-doc-1.15-r0.apk
2024-10-25 20:29
6.3K
bgs-0.8-r1.apk
2024-10-25 20:29
5.7K
bgs-doc-0.8-r1.apk
2024-10-25 20:29
2.3K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 20:29
60K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 20:29
47K
bkt-0.8.0-r0.apk
2024-10-25 20:29
433K
bkt-doc-0.8.0-r0.apk
2024-10-25 20:29
7.3K
blackbox-1.20220610-r1.apk
2024-10-25 20:29
16K
blip-0.10-r0.apk
2024-10-25 20:29
15K
blip-doc-0.10-r0.apk
2024-10-25 20:29
31K
boinc-7.24.3-r0.apk
2024-10-25 20:29
1.6M
boinc-dev-7.24.3-r0.apk
2024-10-25 20:29
592K
boinc-doc-7.24.3-r0.apk
2024-10-25 20:29
8.0K
boinc-gui-7.24.3-r0.apk
2024-10-25 20:29
1.3M
boinc-lang-7.24.3-r0.apk
2024-10-25 20:29
877K
boinc-libs-7.24.3-r0.apk
2024-10-25 20:29
218K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 20:29
133K
bonzomatic-20230615-r0.apk
2024-10-25 20:29
673K
bootinfo-0.1.0-r4.apk
2024-10-25 20:29
19K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 20:29
8.2K
bootterm-0.5-r0.apk
2024-10-25 20:29
19K
bootterm-dbg-0.5-r0.apk
2024-10-25 20:29
2.3K
boson-0_git20211219-r0.apk
2024-10-25 20:29
18K
boxes-2.3.1-r0.apk
2024-10-25 20:29
80K
boxes-doc-2.3.1-r0.apk
2024-10-25 20:29
7.1K
brial-1.2.11-r4.apk
2024-10-25 20:29
1.1M
brial-dev-1.2.11-r4.apk
2024-10-25 20:29
1.6M
btfs-2.24-r12.apk
2024-10-25 20:29
32K
btfs-doc-2.24-r12.apk
2024-10-25 20:29
2.4K
btpd-0.16-r2.apk
2024-10-25 20:29
81K
btpd-doc-0.16-r2.apk
2024-10-25 20:29
8.4K
bump2version-1.0.1-r6.apk
2024-10-25 20:29
21K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 20:29
29K
bwrap-oci-0.2-r1.apk
2024-10-25 20:29
16K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 20:29
2.5K
caffeine-ng-4.2.0-r1.apk
2024-10-25 20:29
100K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 20:29
3.2K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 20:29
34K
caps2esc-0.3.2-r0.apk
2024-10-25 20:29
4.6K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 20:29
526K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 20:29
5.1K
catdoc-0.95-r1.apk
2024-10-25 20:29
113K
catdoc-doc-0.95-r1.apk
2024-10-25 20:29
9.2K
cc65-2.19-r0.apk
2024-10-25 20:29
8.9M
ccrtp-2.1.2-r0.apk
2024-10-25 20:29
89K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 20:29
53K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 20:29
31K
ccze-0.2.1-r1.apk
2024-10-25 20:29
50K
ccze-dev-0.2.1-r1.apk
2024-10-25 20:29
3.3K
ccze-doc-0.2.1-r1.apk
2024-10-25 20:29
8.8K
cdba-1.0-r2.apk
2024-10-25 20:29
8.1K
cdba-server-1.0-r2.apk
2024-10-25 20:29
23K
cdist-7.0.0-r6.apk
2024-10-25 20:29
511K
cdist-pyc-7.0.0-r6.apk
2024-10-25 20:29
128K
cgiirc-0.5.12-r1.apk
2024-10-25 20:29
132K
cgo-0.6.1-r1.apk
2024-10-25 20:29
10K
cgo-doc-0.6.1-r1.apk
2024-10-25 20:29
4.1K
charls-2.4.2-r0.apk
2024-10-25 20:29
69K
charls-dev-2.4.2-r0.apk
2024-10-25 20:29
27K
checkpolicy-3.6-r0.apk
2024-10-25 20:29
379K
checkpolicy-doc-3.6-r0.apk
2024-10-25 20:29
4.2K
cimg-3.4.1-r0.apk
2024-10-25 20:30
826K
circuslinux-1.0.3-r1.apk
2024-10-25 20:30
21K
circuslinux-data-1.0.3-r1.apk
2024-10-25 20:30
1.1M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 20:30
18K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 20:30
4.7K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 20:30
49K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 20:30
6.5K
clipit-1.4.5-r3.apk
2024-10-25 20:30
68K
clipit-doc-1.4.5-r3.apk
2024-10-25 20:30
2.4K
cluster-glue-1.0.12-r5.apk
2024-10-25 20:30
260K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 20:30
1.0M
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 20:30
33K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 20:30
117K
coccinelle-1.1.1-r2.apk
2024-10-25 20:30
8.2M
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 20:30
2.9K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 20:30
16K
colormake-0.9.20170221-r0.apk
2024-10-25 20:30
4.0K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 20:30
2.7K
colorpicker-0_git20201128-r1.apk
2024-10-25 20:30
4.2K
commoncpp-7.0.1-r1.apk
2024-10-25 20:30
303K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 20:30
173K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 20:30
15K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 20:30
44K
console_bridge-1.0.2-r0.apk
2024-10-25 20:30
9.4K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 20:30
4.7K
cowsay-3.04-r2.apk
2024-10-25 20:30
18K
cowsay-doc-3.04-r2.apk
2024-10-25 20:30
4.0K
cpiped-0.1.0-r0.apk
2024-10-25 20:30
7.0K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 20:30
35K
crossplane-0.5.8-r3.apk
2024-10-25 20:30
30K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 20:30
39K
cscope-15.9-r1.apk
2024-10-25 20:30
161K
cscope-doc-15.9-r1.apk
2024-10-25 20:30
7.5K
csfml-2.5.2-r0.apk
2024-10-25 20:30
102K
csfml-dev-2.5.2-r0.apk
2024-10-25 20:30
77K
csfml-doc-2.5.2-r0.apk
2024-10-25 20:30
204K
csmith-2.3.0-r2.apk
2024-10-25 20:30
316K
csmith-doc-2.3.0-r2.apk
2024-10-25 20:30
3.1K
csol-1.6.0-r0.apk
2024-10-25 20:30
39K
csol-doc-1.6.0-r0.apk
2024-10-25 20:30
3.8K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 20:30
89K
curlftpfs-0.9.2-r3.apk
2024-10-25 20:30
24K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 20:30
6.1K
cutechess-1.3.1-r0.apk
2024-10-25 20:30
1.1M
cutechess-cli-1.3.1-r0.apk
2024-10-25 20:30
361K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 20:30
6.6K
cutechess-doc-1.3.1-r0.apk
2024-10-25 20:30
3.6K
cvs-fast-export-1.65-r0.apk
2024-10-25 20:30
50K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 20:30
17K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 20:30
8.7K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 20:30
6.8K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 20:30
2.3K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 20:30
7.0K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 20:30
88K
daemontools-0.76-r3.apk
2024-10-25 20:30
69K
daemontools-openrc-0.76-r3.apk
2024-10-25 20:30
2.0K
daktilo-0.6.0-r0.apk
2024-10-25 20:30
1.9M
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 20:30
2.2K
daktilo-doc-0.6.0-r0.apk
2024-10-25 20:30
8.7K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 20:30
1.9K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 20:30
2.3K
dasht-2.4.0-r0.apk
2024-10-25 20:30
14K
dasht-doc-2.4.0-r0.apk
2024-10-25 20:30
11K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 20:30
2.1K
dcnnt-0.10.0-r1.apk
2024-10-25 20:30
28K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 20:30
6.6K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 20:30
62K
ddgr-2.2-r0.apk
2024-10-25 20:30
20K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 20:30
2.2K
ddgr-doc-2.2-r0.apk
2024-10-25 20:30
12K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 20:30
2.3K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 20:30
2.7K
ddserver-0_git20200930-r1.apk
2024-10-25 20:30
12K
deadbeef-soxr-20180801-r0.apk
2024-10-25 20:30
5.9K
debconf-1.5.82-r0.apk
2024-10-25 20:30
69K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 20:30
1.9K
debconf-doc-1.5.82-r0.apk
2024-10-25 20:30
27K
debconf-lang-1.5.82-r0.apk
2024-10-25 20:30
132K
debconf-utils-1.5.82-r0.apk
2024-10-25 20:30
6.7K
dehydrated-0.7.1-r0.apk
2024-10-25 20:30
26K
desed-1.2.1-r1.apk
2024-10-25 20:30
451K
desed-doc-1.2.1-r1.apk
2024-10-25 20:30
2.9K
detox-2.0.0-r0.apk
2024-10-25 20:30
117K
detox-doc-2.0.0-r0.apk
2024-10-25 20:30
21K
devil-1.8.0-r0.apk
2024-10-25 20:30
289K
devil-dev-1.8.0-r0.apk
2024-10-25 20:30
13K
dewduct-0.2.3-r0.apk
2024-10-25 20:30
1.2M
dfu-programmer-1.1.0-r0.apk
2024-10-25 20:30
35K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 20:30
2.8K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 20:30
5.8K
disfetch-3.7-r0.apk
2024-10-25 20:30
8.3K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 20:30
31K
dnsperf-2.14.0-r0.apk
2024-10-25 20:30
73K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 20:30
35K
drumgizmo-0.9.20-r1.apk
2024-10-25 20:30
418K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 20:30
47K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 20:30
2.9K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 20:30
6.9K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 20:30
2.3K
duc-1.4.5-r0.apk
2024-10-25 20:30
94K
duc-doc-1.4.5-r0.apk
2024-10-25 20:30
9.1K
dulcepan-1.0.2-r0.apk
2024-10-25 20:30
23K
dustracing2d-2.1.1-r1.apk
2024-10-25 20:30
5.1M
dvdbackup-0.4.2-r1.apk
2024-10-25 20:30
16K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 20:30
7.6K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 20:30
1.4K
dwl-0.7-r0.apk
2024-10-25 20:30
31K
dwl-doc-0.7-r0.apk
2024-10-25 20:30
3.1K
eatmemory-0.1.6-r2.apk
2024-10-25 20:30
4.3K
ecos-2.0.10-r0.apk
2024-10-25 20:30
43K
ecos-dev-2.0.10-r0.apk
2024-10-25 20:30
28K
edward-1.1.0-r0.apk
2024-10-25 20:30
2.0M
edward-doc-1.1.0-r0.apk
2024-10-25 20:30
5.3K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 20:30
6.6K
empede-0.2.3-r0.apk
2024-10-25 20:30
1.9M
empede-doc-0.2.3-r0.apk
2024-10-25 20:30
2.3K
empede-openrc-0.2.3-r0.apk
2024-10-25 20:30
1.9K
enjoy-0.3-r1.apk
2024-10-25 20:30
12K
enlighten-0.9.2-r1.apk
2024-10-25 20:30
7.1K
enlighten-doc-0.9.2-r1.apk
2024-10-25 20:30
3.5K
envsubst-0.1-r1.apk
2024-10-25 20:30
4.7K
epoch-1.3.0-r2.apk
2024-10-25 20:30
56K
epr-2.4.15-r1.apk
2024-10-25 20:30
16K
epr-pyc-2.4.15-r1.apk
2024-10-25 20:30
24K
esptool-4.8.1-r0.apk
2024-10-25 20:30
424K
esptool-pyc-4.8.1-r0.apk
2024-10-25 20:30
549K
ettercap-0.8.3.1-r3.apk
2024-10-25 20:30
567K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 20:30
45K
extrace-0.9-r0.apk
2024-10-25 20:30
10K
extrace-doc-0.9-r0.apk
2024-10-25 20:30
3.5K
extremetuxracer-0.8.3-r0.apk
2024-10-25 20:30
40M
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 20:30
6.7K
extundelete-0.2.4-r1.apk
2024-10-25 20:30
40K
fabric-3.2.2-r1.apk
2024-10-25 20:30
55K
fabric-pyc-3.2.2-r1.apk
2024-10-25 20:30
60K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 20:30
29K
fatback-1.3-r2.apk
2024-10-25 20:30
29K
fatback-doc-1.3-r2.apk
2024-10-25 20:30
16K
fatresize-1.1.0-r1.apk
2024-10-25 20:30
8.8K
fatresize-doc-1.1.0-r1.apk
2024-10-25 20:30
15K
faultstat-0.01.11-r0.apk
2024-10-25 20:30
13K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 20:30
2.3K
faultstat-doc-0.01.11-r0.apk
2024-10-25 20:30
3.0K
fava-1.28-r0.apk
2024-10-25 20:30
1.1M
fava-pyc-1.28-r0.apk
2024-10-25 20:30
164K
fbcur-1.0.1-r1.apk
2024-10-25 20:30
4.6K
fbcur-doc-1.0.1-r1.apk
2024-10-25 20:30
2.2K
fdm-materials-5.2.2-r1.apk
2024-10-25 20:30
60K
fff-2.2-r0.apk
2024-10-25 20:30
11K
fff-doc-2.2-r0.apk
2024-10-25 20:30
9.0K
ffsend-0.2.76-r4.apk
2024-10-25 20:30
1.8M
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 20:30
3.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 20:30
3.6K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 20:30
4.6K
fildesh-0.2.0-r0.apk
2024-10-25 20:30
70K
fildesh-doc-0.2.0-r0.apk
2024-10-25 20:30
2.1K
fildesh-vim-0.2.0-r0.apk
2024-10-25 20:30
3.5K
findtow-0.1-r0.apk
2024-10-25 20:30
4.7K
finger-0.5-r0.apk
2024-10-25 20:30
6.9K
finger-doc-0.5-r0.apk
2024-10-25 20:30
3.8K
firehol-3.1.7-r2.apk
2024-10-25 20:30
85K
firehol-doc-3.1.7-r2.apk
2024-10-25 20:30
675K
firehol-openrc-3.1.7-r2.apk
2024-10-25 20:30
2.1K
flare-game-1.14-r0.apk
2024-10-25 20:30
2.2K
flauschige-uhr-0.1-r1.apk
2024-10-25 20:30
4.2K
fnf-0.1-r0.apk
2024-10-25 20:30
18K
fnf-doc-0.1-r0.apk
2024-10-25 20:30
4.6K
foma-0.10.0_git20240712-r0.apk
2024-10-25 20:30
350K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 20:30
8.5K
font-anonymous-pro-1.002-r2.apk
2024-10-25 20:30
264K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 20:30
18M
font-chivo-0_git20221110-r0.apk
2024-10-25 20:30
792K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 20:30
626K
font-comic-neue-2.51-r0.apk
2024-10-25 20:30
249K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 20:30
1.0M
font-commit-mono-1.143-r0.apk
2024-10-25 20:30
251K
font-cousine-0_git20210228-r0.apk
2024-10-25 20:30
110K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 20:30
1.2K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 20:30
5.5K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 20:30
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 20:30
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 20:30
316K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 20:30
316K
font-fira-code-6.2-r0.apk
2024-10-25 20:30
836K
font-fira-code-vf-6.2-r0.apk
2024-10-25 20:30
145K
font-firamath-0.3.4-r0.apk
2024-10-25 20:30
118K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 20:30
205K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 20:30
281K
font-katex-0.16.2-r0.apk
2024-10-25 20:30
852K
font-material-icons-4.0.0-r0.apk
2024-10-25 20:30
652K
font-monaspace-1.101-r0.apk
2024-10-25 20:30
1.5K
font-monaspace-argon-1.101-r0.apk
2024-10-25 20:30
2.2M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 20:30
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 20:30
2.1M
font-monaspace-radon-1.101-r0.apk
2024-10-25 20:30
2.7M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 20:30
2.3M
font-monocraft-4.0-r0.apk
2024-10-25 20:30
677K
font-siji-20190218_git-r2.apk
2024-10-25 20:30
24K
font-stix-otf-2.13-r0.apk
2024-10-25 20:30
2.0M
font-stix-ttf-2.13-r0.apk
2024-10-25 20:30
430K
font-tamzen-1.11.5-r1.apk
2024-10-25 20:30
62K
font-tinos-0_git20210228-r0.apk
2024-10-25 20:30
199K
font-tiresias-0_git20200704-r0.apk
2024-10-25 20:30
568K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 20:30
58K
foolsm-1.0.21-r0.apk
2024-10-25 20:30
34K
foolsm-doc-1.0.21-r0.apk
2024-10-25 20:30
3.9K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 20:30
1.6K
fpp-0.9.5-r0.apk
2024-10-25 20:30
29K
fpp-doc-0.9.5-r0.apk
2024-10-25 20:30
5.6K
freealut-1.1.0-r1.apk
2024-10-25 20:30
18K
freealut-dev-1.1.0-r1.apk
2024-10-25 20:30
23K
freediameter-1.5.0-r1.apk
2024-10-25 20:30
9.0K
freediameter-dev-1.5.0-r1.apk
2024-10-25 20:30
54K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 20:30
387K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 20:30
168K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 20:30
89K
frescobaldi-3.3.0-r1.apk
2024-10-25 20:30
3.5M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 20:30
2.5K
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 20:30
1.2M
fulcrum-1.9.8-r1.apk
2024-10-25 20:30
904K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 20:30
7.9K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 20:30
22K
fusee-nano-0.5.3-r1.apk
2024-10-25 20:30
21K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 20:30
1.7K
fusesoc-2.3-r0.apk
2024-10-25 20:30
46K
fusesoc-pyc-2.3-r0.apk
2024-10-25 20:30
89K
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 20:30
5.6M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 20:30
1.1M
gammastep-2.0.9-r3.apk
2024-10-25 20:31
90K
gammastep-doc-2.0.9-r3.apk
2024-10-25 20:31
14K
gammastep-lang-2.0.9-r3.apk
2024-10-25 20:31
78K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 20:31
17K
gatling-0.16-r6.apk
2024-10-25 20:31
156K
gatling-doc-0.16-r6.apk
2024-10-25 20:31
9.1K
gatling-openrc-0.16-r6.apk
2024-10-25 20:31
2.8K
gaupol-1.12-r2.apk
2024-10-25 20:31
276K
gaupol-doc-1.12-r2.apk
2024-10-25 20:31
2.4K
gaupol-lang-1.12-r2.apk
2024-10-25 20:31
277K
gaupol-pyc-1.12-r2.apk
2024-10-25 20:31
419K
geomyidae-0.34-r2.apk
2024-10-25 20:31
15K
geomyidae-doc-0.34-r2.apk
2024-10-25 20:31
7.7K
geomyidae-openrc-0.34-r2.apk
2024-10-25 20:31
2.0K
geonames-0.3.1-r2.apk
2024-10-25 20:31
826K
geonames-dev-0.3.1-r2.apk
2024-10-25 20:31
3.0K
geonames-doc-0.3.1-r2.apk
2024-10-25 20:31
13K
geonames-lang-0.3.1-r2.apk
2024-10-25 20:31
4.6M
getssl-2.48-r0.apk
2024-10-25 20:31
82K
gfan-0.6.2-r1.apk
2024-10-25 20:31
1.8M
ghc-filesystem-1.5.14-r0.apk
2024-10-25 20:31
39K
git-revise-0.7.0-r5.apk
2024-10-25 20:31
24K
git-revise-doc-0.7.0-r5.apk
2024-10-25 20:31
5.0K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 20:31
42K
git-secret-0.5.0-r0.apk
2024-10-25 20:31
15K
git-secret-doc-0.5.0-r0.apk
2024-10-25 20:31
17K
glfw-wayland-3.3.8-r3.apk
2024-10-25 20:31
68K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 20:31
194K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 20:31
46K
glmark2-2023.01-r1.apk
2024-10-25 20:31
8.0M
glmark2-doc-2023.01-r1.apk
2024-10-25 20:31
13K
gloox-1.0.28-r0.apk
2024-10-25 20:31
371K
gloox-dev-1.0.28-r0.apk
2024-10-25 20:31
888K
gnome-common-3.18.0-r3.apk
2024-10-25 20:31
12K
gnome-metronome-1.3.0-r0.apk
2024-10-25 20:31
567K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 20:31
25K
gnu-apl-1.9-r0.apk
2024-10-25 20:31
1.3M
gnu-apl-dev-1.9-r0.apk
2024-10-25 20:31
617K
gnu-apl-doc-1.9-r0.apk
2024-10-25 20:31
1.6M
goomwwm-1.0.0-r5.apk
2024-10-25 20:31
51K
goxel-0.15.1-r0.apk
2024-10-25 20:31
1.9M
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 20:31
20K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 20:31
2.2K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 20:31
3.3K
gtkwave-3.3.120-r0.apk
2024-10-25 20:31
2.6M
gtkwave-doc-3.3.120-r0.apk
2024-10-25 20:31
27K
h4h5tools-2.2.5-r4.apk
2024-10-25 20:31
109K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 20:31
8.8K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 20:31
2.7K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 20:31
106K
halp-0.2.0-r0.apk
2024-10-25 20:31
1.0M
halp-bash-completion-0.2.0-r0.apk
2024-10-25 20:31
2.2K
halp-doc-0.2.0-r0.apk
2024-10-25 20:31
6.9K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 20:31
2.0K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 20:31
2.4K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 20:31
156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 20:31
2.0K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 20:31
116K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 20:31
206K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 20:31
358K
handlebars-1.0.0-r1.apk
2024-10-25 20:31
108K
handlebars-dev-1.0.0-r1.apk
2024-10-25 20:31
32K
handlebars-utils-1.0.0-r1.apk
2024-10-25 20:31
10K
harminv-1.4.2-r1.apk
2024-10-25 20:31
7.9K
harminv-dev-1.4.2-r1.apk
2024-10-25 20:31
3.1K
harminv-doc-1.4.2-r1.apk
2024-10-25 20:31
5.7K
harminv-libs-1.4.2-r1.apk
2024-10-25 20:31
15K
hatop-0.8.2-r0.apk
2024-10-25 20:31
18K
hatop-doc-0.8.2-r0.apk
2024-10-25 20:31
3.0K
hddfancontrol-1.6.2-r0.apk
2024-10-25 20:31
33K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 20:31
2.2K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 20:31
34K
hdf4-4.2.15-r2.apk
2024-10-25 20:31
264K
hdf4-dev-4.2.15-r2.apk
2024-10-25 20:31
101K
hdf4-doc-4.2.15-r2.apk
2024-10-25 20:31
6.0K
hdf4-tools-4.2.15-r2.apk
2024-10-25 20:31
199K
herbe-1.0.0-r0.apk
2024-10-25 20:31
5.8K
hexedit-1.6_git20230905-r0.apk
2024-10-25 20:31
19K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 20:31
5.6K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 20:31
80K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 20:31
131K
hping3-20051105-r4.apk
2024-10-25 20:31
76K
hping3-doc-20051105-r4.apk
2024-10-25 20:31
17K
hsetroot-1.0.5-r1.apk
2024-10-25 20:31
11K
htmlcxx-0.87-r1.apk
2024-10-25 20:31
64K
htmlcxx-dev-0.87-r1.apk
2024-10-25 20:31
21K
httpie-oauth-1.0.2-r9.apk
2024-10-25 20:31
3.4K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 20:31
2.3K
httrack-3.49.2-r5.apk
2024-10-25 20:31
753K
httrack-doc-3.49.2-r5.apk
2024-10-25 20:31
528K
hx-1.0.15-r0.apk
2024-10-25 20:31
15K
hx-doc-1.0.15-r0.apk
2024-10-25 20:31
4.8K
hypnotix-3.5-r0.apk
2024-10-25 20:31
110K
hypnotix-lang-3.5-r0.apk
2024-10-25 20:31
72K
hyx-2024.02.29-r0.apk
2024-10-25 20:31
18K
hyx-doc-2024.02.29-r0.apk
2024-10-25 20:31
2.2K
i2util-4.2.1-r1.apk
2024-10-25 20:31
17K
i2util-dev-4.2.1-r1.apk
2024-10-25 20:31
45K
i2util-doc-4.2.1-r1.apk
2024-10-25 20:31
4.7K
icesprog-0_git20240108-r1.apk
2024-10-25 20:31
9.2K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 20:31
1.9K
icestorm-0_git20240517-r0.apk
2024-10-25 20:31
17M
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 20:31
8.8K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 20:31
1.5K
idesk-1-r1.apk
2024-10-25 20:31
71K
igrep-1.2.0-r0.apk
2024-10-25 20:31
1.8M
igrep-doc-1.2.0-r0.apk
2024-10-25 20:31
4.2K
imapfilter-2.8.2-r0.apk
2024-10-25 20:31
41K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 20:31
13K
imediff-2.6-r1.apk
2024-10-25 20:31
42K
imediff-doc-2.6-r1.apk
2024-10-25 20:31
6.5K
imediff-pyc-2.6-r1.apk
2024-10-25 20:31
44K
imrsh-0_git20210320-r1.apk
2024-10-25 20:31
9.0K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 20:31
19K
initify-0_git20171210-r1.apk
2024-10-25 20:31
3.3K
ip2location-8.6.1-r0.apk
2024-10-25 20:31
26K
ip2location-dev-8.6.1-r0.apk
2024-10-25 20:31
13K
ip2location-doc-8.6.1-r0.apk
2024-10-25 20:31
2.7K
iprange-1.0.4-r1.apk
2024-10-25 20:31
21K
iprange-doc-1.0.4-r1.apk
2024-10-25 20:31
4.5K
irccd-4.0.3-r0.apk
2024-10-25 20:31
277K
irccd-dev-4.0.3-r0.apk
2024-10-25 20:31
9.6K
irccd-doc-4.0.3-r0.apk
2024-10-25 20:31
80K
irccd-openrc-4.0.3-r0.apk
2024-10-25 20:31
1.8K
isoinfo-0_git20131217-r1.apk
2024-10-25 20:31
6.4K
it87-src-1_p20240609-r0.apk
2024-10-25 20:31
30K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 20:31
31K
jalv-1.6.8-r1.apk
2024-10-25 20:31
52K
jalv-doc-1.6.8-r1.apk
2024-10-25 20:31
3.2K
jalv-gtk-1.6.8-r1.apk
2024-10-25 20:31
35K
java-jtharness-6.0_p12-r0.apk
2024-10-25 20:31
4.0M
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 20:31
12K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 20:31
219K
jbigkit-2.1-r2.apk
2024-10-25 20:31
67K
jbigkit-dev-2.1-r2.apk
2024-10-25 20:31
31K
jbigkit-doc-2.1-r2.apk
2024-10-25 20:31
7.3K
jdebp-redo-1.4-r1.apk
2024-10-25 20:31
94K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 20:31
12K
jdupes-1.28.0-r0.apk
2024-10-25 20:31
29K
jdupes-doc-1.28.0-r0.apk
2024-10-25 20:31
9.0K
jhead-3.08-r0.apk
2024-10-25 20:31
34K
jhead-doc-3.08-r0.apk
2024-10-25 20:31
7.9K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 20:31
684K
jsmn-1.1.0-r2.apk
2024-10-25 20:31
4.7K
json2tsv-1.2-r0.apk
2024-10-25 20:31
6.7K
json2tsv-doc-1.2-r0.apk
2024-10-25 20:31
5.2K
json2tsv-jaq-1.2-r0.apk
2024-10-25 20:31
1.9K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 20:31
2.3K
junit2html-31.0.2-r0.apk
2024-10-25 20:31
17K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 20:31
24K
kabmat-2.7.0-r0.apk
2024-10-25 20:31
59K
kabmat-doc-2.7.0-r0.apk
2024-10-25 20:31
3.5K
keydb-6.3.4-r0.apk
2024-10-25 20:31
1.1M
keydb-benchmark-6.3.4-r0.apk
2024-10-25 20:31
464K
keydb-cli-6.3.4-r0.apk
2024-10-25 20:31
376K
keydb-openrc-6.3.4-r0.apk
2024-10-25 20:31
2.6K
keystone-0.9.2-r6.apk
2024-10-25 20:31
1.5M
keystone-dev-0.9.2-r6.apk
2024-10-25 20:31
7.3K
keystone-python-0.9.2-r6.apk
2024-10-25 20:31
1.8M
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 20:31
9.7K
kfc-0.1.4-r0.apk
2024-10-25 20:31
58K
khronos-4.0.1-r0.apk
2024-10-25 20:31
56K
khronos-lang-4.0.1-r0.apk
2024-10-25 20:31
26K
kjv-0_git20221103-r0.apk
2024-10-25 20:31
1.5M
kondo-0.8-r0.apk
2024-10-25 20:31
764K
kondo-bash-completion-0.8-r0.apk
2024-10-25 20:31
2.1K
kondo-fish-completion-0.8-r0.apk
2024-10-25 20:31
2.1K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 20:31
2.5K
kubesplit-0.3.3-r1.apk
2024-10-25 20:31
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 20:31
13K
ledmon-1.0.0-r0.apk
2024-10-25 20:31
83K
ledmon-doc-1.0.0-r0.apk
2024-10-25 20:31
14K
levmar-dev-2.6-r0.apk
2024-10-25 20:31
48K
lfm-3.1-r4.apk
2024-10-25 20:31
88K
lfm-doc-3.1-r4.apk
2024-10-25 20:31
2.8K
lfm-pyc-3.1-r4.apk
2024-10-25 20:31
134K
libantlr3c-3.4-r3.apk
2024-10-25 20:32
53K
libantlr3c-dev-3.4-r3.apk
2024-10-25 20:32
58K
libaudec-0.3.4-r3.apk
2024-10-25 20:32
29K
libaudec-dev-0.3.4-r3.apk
2024-10-25 20:32
4.3K
libaudec-static-0.3.4-r3.apk
2024-10-25 20:32
31K
libaudec-tools-0.3.4-r3.apk
2024-10-25 20:32
29K
libb64-2.0.0.1-r0.apk
2024-10-25 20:32
4.6K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 20:32
5.8K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 20:32
8.0K
libbamf-0.5.6-r1.apk
2024-10-25 20:32
161K
libbamf-dev-0.5.6-r1.apk
2024-10-25 20:32
6.4K
libbamf-doc-0.5.6-r1.apk
2024-10-25 20:32
31K
libbloom-2.0-r0.apk
2024-10-25 20:32
5.5K
libbloom-dev-2.0-r0.apk
2024-10-25 20:32
3.5K
libbsoncxx-3.8.0-r0.apk
2024-10-25 20:32
47K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 20:32
39K
libcli-1.10.7-r0.apk
2024-10-25 20:32
29K
libcork-0.15.0-r7.apk
2024-10-25 20:32
37K
libcork-dev-0.15.0-r7.apk
2024-10-25 20:32
30K
libcork-tools-0.15.0-r7.apk
2024-10-25 20:32
4.5K
libcorkipset-1.1.1-r4.apk
2024-10-25 20:32
14K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 20:32
8.1K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 20:32
12K
libctl-4.5.1-r1.apk
2024-10-25 20:32
96K
libctl-dev-4.5.1-r1.apk
2024-10-25 20:32
39K
libctl-doc-4.5.1-r1.apk
2024-10-25 20:32
3.0K
libcyaml-1.4.2-r0.apk
2024-10-25 20:32
21K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 20:32
13K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 20:32
8.6K
libcyaml-static-1.4.2-r0.apk
2024-10-25 20:32
24K
libecap-1.0.1-r1.apk
2024-10-25 20:32
13K
libecap-dev-1.0.1-r1.apk
2024-10-25 20:32
11K
libecap-static-1.0.1-r1.apk
2024-10-25 20:32
17K
liberasurecode-1.6.3-r1.apk
2024-10-25 20:32
44K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 20:32
18K
libettercap-0.8.3.1-r3.apk
2024-10-25 20:32
205K
libfishsound-1.0.0-r1.apk
2024-10-25 20:32
10K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 20:32
59K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 20:32
75K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 20:32
110K
libfort-0.4.2-r0.apk
2024-10-25 20:32
32K
libfort-dev-0.4.2-r0.apk
2024-10-25 20:32
17K
libgivaro-4.2.0-r2.apk
2024-10-25 20:32
93K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 20:32
244K
libgivaro-static-4.2.0-r2.apk
2024-10-25 20:32
105K
libhomfly-1.02_p6-r1.apk
2024-10-25 20:32
21K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 20:32
24K
libhwpwm-0.4.4-r0.apk
2024-10-25 20:32
5.5K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 20:32
5.7K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 20:32
13K
libiio-0.25-r2.apk
2024-10-25 20:32
56K
libiio-dev-0.25-r2.apk
2024-10-25 20:32
13K
libiio-doc-0.25-r2.apk
2024-10-25 20:32
18K
libiio-pyc-0.25-r2.apk
2024-10-25 20:32
21K
libiio-tools-0.25-r2.apk
2024-10-25 20:32
77K
libiscsi-1.19.0-r2.apk
2024-10-25 20:32
62K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 20:32
20K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 20:32
9.3K
libiscsi-static-1.19.0-r2.apk
2024-10-25 20:32
74K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 20:32
88K
libjodycode-3.1.1-r0.apk
2024-10-25 20:32
8.2K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 20:32
4.2K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 20:32
3.7K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 20:32
165K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 20:32
20K
libmdbx-0.11.8-r0.apk
2024-10-25 20:32
724K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 20:32
2.7M
libmdbx-dev-0.11.8-r0.apk
2024-10-25 20:32
93K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 20:32
8.9K
libmdf-1.0.29-r0.apk
2024-10-25 20:32
37K
libmdf-dev-1.0.29-r0.apk
2024-10-25 20:32
14K
libmhash-0.9.9.9-r3.apk
2024-10-25 20:32
93K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 20:32
104K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 20:32
8.2K
libmpfi-1.5.4-r2.apk
2024-10-25 20:32
36K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 20:32
5.4K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 20:32
19K
libmpfi-static-1.5.4-r2.apk
2024-10-25 20:32
51K
libmrss-0.19.2-r1.apk
2024-10-25 20:32
20K
libmrss-dev-0.19.2-r1.apk
2024-10-25 20:32
31K
libmustache-0.5.0-r1.apk
2024-10-25 20:32
84K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 20:32
87K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 20:32
12K
libnih-1.0.3-r7.apk
2024-10-25 20:32
112K
libnih-dev-1.0.3-r7.apk
2024-10-25 20:32
112K
libnih-doc-1.0.3-r7.apk
2024-10-25 20:32
2.7K
libnxml-0.18.3-r0.apk
2024-10-25 20:32
20K
libnxml-dev-0.18.3-r0.apk
2024-10-25 20:32
27K
libofx-0.10.9-r1.apk
2024-10-25 20:32
63K
libofx-dev-0.10.9-r1.apk
2024-10-25 20:32
20K
libofx-tools-0.10.9-r1.apk
2024-10-25 20:32
106K
liboggz-1.1.1-r2.apk
2024-10-25 20:32
95K
liboggz-dev-1.1.1-r2.apk
2024-10-25 20:32
177K
liboggz-doc-1.1.1-r2.apk
2024-10-25 20:32
134K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 20:32
45K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 20:32
318K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 20:32
455K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 20:32
323K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 20:32
1.9M
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 20:32
452K
libretro-blastem-0_git20210810-r0.apk
2024-10-25 20:32
248K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 20:32
692K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 20:32
256K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 20:32
300K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 20:32
292K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 20:32
753K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 20:32
129K
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 20:32
13M
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 20:32
36K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 20:32
174K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 20:32
903K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 20:32
1.2M
libretro-gme-0_git20240628-r0.apk
2024-10-25 20:32
202K
libretro-gong-0_git20220319-r0.apk
2024-10-25 20:32
8.6K
libretro-gw-0_git20220410-r0.apk
2024-10-25 20:32
185K
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 20:32
3.9M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 20:32
9.1M
libretro-mu-0_git20220317-r0.apk
2024-10-25 20:32
188K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 20:32
530K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 20:32
302K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 20:32
536K
libretro-opera-0_git20211214-r0.apk
2024-10-25 20:32
175K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 20:32
523K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 20:32
92K
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 20:32
20M
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 20:32
1.0M
libretro-theodore-3.1-r0.apk
2024-10-25 20:32
873K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 20:32
396K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 20:32
116K
libsbsms-2.3.0-r0.apk
2024-10-25 20:32
105K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 20:32
125K
libsds-2.0.0-r1.apk
2024-10-25 20:32
10K
libsds-dev-2.0.0-r1.apk
2024-10-25 20:32
3.8K
libsemanage-3.6-r1.apk
2024-10-25 20:32
100K
libsemanage-dev-3.6-r1.apk
2024-10-25 20:32
143K
libsemanage-doc-3.6-r1.apk
2024-10-25 20:32
23K
libserialport-0.1.1-r1.apk
2024-10-25 20:32
20K
libserialport-dev-0.1.1-r1.apk
2024-10-25 20:32
35K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 20:32
51K
libsigrok-0.5.2-r3.apk
2024-10-25 20:32
523K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 20:32
31K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 20:32
336K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 20:32
39K
libtcmu-1.6.0-r6.apk
2024-10-25 20:32
40K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 20:32
1.5K
libucl-0.9.0-r0.apk
2024-10-25 20:32
56K
libucl-dev-0.9.0-r0.apk
2024-10-25 20:32
83K
libucl-doc-0.9.0-r0.apk
2024-10-25 20:32
8.9K
libuninameslist-20230916-r0.apk
2024-10-25 20:32
696K
libuninameslist-dev-20230916-r0.apk
2024-10-25 20:32
3.5K
libuninameslist-doc-20230916-r0.apk
2024-10-25 20:32
2.0K
libupstart-2.0.3-r5.apk
2024-10-25 20:32
59K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 20:32
59K
libvisio2svg-0.5.5-r3.apk
2024-10-25 20:32
15K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 20:32
2.9K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 20:32
123K
libvmaf-3.0.0-r0.apk
2024-10-25 20:32
336K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 20:32
190K
libvoikko-4.3.2-r1.apk
2024-10-25 20:32
131K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 20:32
9.9K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 20:32
5.7K
libwbxml-0.11.8-r0.apk
2024-10-25 20:32
78K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 20:32
9.0K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 20:32
28K
libwhich-1.2.0-r0.apk
2024-10-25 20:32
4.5K
libwmiclient-1.3.16-r5.apk
2024-10-25 20:32
1.5K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 20:32
1.7K
licenseheaders-0.8.8-r4.apk
2024-10-25 20:32
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 20:32
18K
limnoria-20240828-r0.apk
2024-10-25 20:32
1.1M
limnoria-doc-20240828-r0.apk
2024-10-25 20:32
8.4K
limnoria-pyc-20240828-r0.apk
2024-10-25 20:32
1.2M
linphone-5.3.38-r0.apk
2024-10-25 20:32
9.0M
linphone-dev-5.3.38-r0.apk
2024-10-25 20:32
250K
linphone-libs-5.3.38-r0.apk
2024-10-25 20:32
3.1M
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 20:32
197K
linux-timemachine-1.3.2-r0.apk
2024-10-25 20:32
5.1K
liquibase-4.9.1-r0.apk
2024-10-25 20:32
32M
liquibase-doc-4.9.1-r0.apk
2024-10-25 20:32
57K
llmnrd-0.7-r1.apk
2024-10-25 20:32
16K
llmnrd-doc-0.7-r1.apk
2024-10-25 20:32
3.0K
llmnrd-openrc-0.7-r1.apk
2024-10-25 20:32
1.9K
lockrun-1.1.3-r1.apk
2024-10-25 20:32
5.2K
log4cpp-1.1.4-r1.apk
2024-10-25 20:32
72K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 20:32
39K
lol-html-1.1.1-r1.apk
2024-10-25 20:32
491K
lol-html-dev-1.1.1-r1.apk
2024-10-25 20:32
6.5K
lolcat-1.4-r0.apk
2024-10-25 20:32
9.6K
lout-3.42.2-r0.apk
2024-10-25 20:32
1.4M
lout-doc-3.42.2-r0.apk
2024-10-25 20:32
453K
lrcalc-2.1-r1.apk
2024-10-25 20:32
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 20:32
11K
lrcalc-libs-2.1-r1.apk
2024-10-25 20:32
29K
lsdvd-0.17-r0.apk
2024-10-25 20:32
13K
lsdvd-doc-0.17-r0.apk
2024-10-25 20:32
2.5K
lsix-1.8.2-r0.apk
2024-10-25 20:32
6.5K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 20:32
1.2K
lua-fn-0.1.0-r0.apk
2024-10-25 20:32
3.4K
lua-inet-0.2.0-r1.apk
2024-10-25 20:32
9.1K
lua-lcurses-9.0.0-r0.apk
2024-10-25 20:32
1.1K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 20:32
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 20:32
19K
lua-linenoise-0.9-r1.apk
2024-10-25 20:32
1.2K
lua-luastatic-0.0.12-r1.apk
2024-10-25 20:32
1.5K
lua-lupa-1.0-r0.apk
2024-10-25 20:32
20K
lua-lut-1.2.1-r0.apk
2024-10-25 20:32
89K
lua-psl-0.3-r0.apk
2024-10-25 20:32
1.1K
lua-resty-redis-0.29-r0.apk
2024-10-25 20:32
5.3K
lua-resty-upload-0.11-r0.apk
2024-10-25 20:32
3.6K
lua-xml-1.1.3-r2.apk
2024-10-25 20:32
1.4K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 20:32
28K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 20:32
11K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 20:32
19K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 20:32
413K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 20:32
81K
lua5.1-psl-0.3-r0.apk
2024-10-25 20:32
6.6K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 20:32
24K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 20:32
4.5K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 20:32
11K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 20:32
19K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 20:32
413K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 20:32
8.8K
lua5.2-psl-0.3-r0.apk
2024-10-25 20:32
6.5K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 20:32
24K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 20:32
4.5K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 20:32
19K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 20:32
413K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 20:32
8.9K
lua5.3-psl-0.3-r0.apk
2024-10-25 20:32
6.5K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 20:32
4.5K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 20:32
19K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 20:32
8.9K
luacov-html-1.0.0-r1.apk
2024-10-25 20:32
1.2K
luapak-0.1.0_beta5-r0.apk
2024-10-25 20:32
35K
luksmeta-9-r0.apk
2024-10-25 20:32
13K
luksmeta-dev-9-r0.apk
2024-10-25 20:32
3.1K
luksmeta-doc-9-r0.apk
2024-10-25 20:32
5.5K
lumina-desktop-1.6.2-r0.apk
2024-10-25 20:32
1.2K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 20:32
165K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 20:32
9.0M
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 20:32
819K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 20:32
12K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 20:32
161K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 20:32
395K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 20:32
200K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 20:32
126K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 20:32
169K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 20:32
96K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 20:32
194K
makeself-2.5.0-r0.apk
2024-10-25 20:32
13K
mangr0ve-0.1.2-r0.apk
2024-10-25 20:32
2.8K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 20:32
14K
marxan-4.0.7-r1.apk
2024-10-25 20:32
581K
mcjoin-2.11-r0.apk
2024-10-25 20:32
23K
mcjoin-doc-2.11-r0.apk
2024-10-25 20:32
54K
md5ha1-0_git20171202-r1.apk
2024-10-25 20:32
9.0K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 20:32
1.0M
mdnsd-0.12-r1.apk
2024-10-25 20:32
24K
mdnsd-doc-0.12-r1.apk
2024-10-25 20:32
14K
mdnsd-libs-0.12-r1.apk
2024-10-25 20:32
19K
mdnsd-openrc-0.12-r1.apk
2024-10-25 20:32
2.1K
mdnsd-static-0.12-r1.apk
2024-10-25 20:32
19K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 20:32
8.1K
memdump-1.01-r1.apk
2024-10-25 20:32
5.7K
memdump-doc-1.01-r1.apk
2024-10-25 20:32
3.1K
menumaker-0.99.14-r1.apk
2024-10-25 20:32
111K
metalang99-1.13.3-r0.apk
2024-10-25 20:32
54K
milkytracker-1.04.00-r2.apk
2024-10-25 20:32
1.0M
milkytracker-doc-1.04.00-r2.apk
2024-10-25 20:32
50K
mimeo-2023-r2.apk
2024-10-25 20:32
28K
mimeo-pyc-2023-r2.apk
2024-10-25 20:32
42K
minidyndns-1.3.0-r3.apk
2024-10-25 20:32
12K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 20:32
5.1K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 20:32
1.8K
minimodem-0.24-r1.apk
2024-10-25 20:32
21K
minimodem-doc-0.24-r1.apk
2024-10-25 20:32
5.2K
minisatip-1.3.4-r0.apk
2024-10-25 20:32
322K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 20:32
1.9K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 20:32
29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 20:32
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 20:32
791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 20:32
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 20:32
260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 20:32
1.8K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 20:32
538K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 20:32
4.7K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 20:32
249K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 20:32
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 20:32
651K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 20:32
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 20:32
644K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 20:32
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 20:32
11K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 20:32
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 20:32
248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 20:32
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 20:32
944K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 20:32
1.8K
mkg3a-0.5.0-r1.apk
2024-10-25 20:32
14K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 20:32
3.1K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 20:32
9.8K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 20:32
2.5K
mlxl-0.1-r0.apk
2024-10-25 20:32
5.9K
mm-1.4.2-r1.apk
2024-10-25 20:32
8.6K
mm-dev-1.4.2-r1.apk
2024-10-25 20:32
12K
mm-doc-1.4.2-r1.apk
2024-10-25 20:32
14K
mnamer-2.5.5-r1.apk
2024-10-25 20:32
32K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 20:32
60K
mobpass-0.2-r6.apk
2024-10-25 20:32
18K
mobpass-pyc-0.2-r6.apk
2024-10-25 20:32
5.2K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 20:32
344K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 20:32
3.9M
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 20:32
129K
moe-1.14-r0.apk
2024-10-25 20:32
113K
moe-doc-1.14-r0.apk
2024-10-25 20:32
19K
moka-icon-theme-5.4.0-r2.apk
2024-10-25 20:32
114M
monetdb-11.33.11-r4.apk
2024-10-25 20:32
2.5M
monetdb-dev-11.33.11-r4.apk
2024-10-25 20:32
77K
monetdb-doc-11.33.11-r4.apk
2024-10-25 20:32
321K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 20:32
186K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 20:32
89K
moon-buggy-1.0.51-r1.apk
2024-10-25 20:32
39K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 20:32
7.1K
mp3val-0.1.8-r1.apk
2024-10-25 20:32
13K
mpdcron-0.3-r1.apk
2024-10-25 20:32
98K
mpdcron-dev-0.3-r1.apk
2024-10-25 20:32
55K
mpdcron-doc-0.3-r1.apk
2024-10-25 20:32
13K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 20:32
2.9K
mpdris2-0.9.1-r3.apk
2024-10-25 20:32
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 20:32
15K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 20:32
2.3K
mrsh-0_git20210518-r1.apk
2024-10-25 20:32
5.6K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 20:32
208K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 20:32
10K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 20:32
62K
msgpuck-2.0-r1.apk
2024-10-25 20:32
1.2K
msgpuck-dev-2.0-r1.apk
2024-10-25 20:32
23K
msgpuck-doc-2.0-r1.apk
2024-10-25 20:32
7.3K
mspdebug-0.25-r1.apk
2024-10-25 20:32
207K
mspdebug-doc-0.25-r1.apk
2024-10-25 20:32
14K
mxclient-0_git20211002-r1.apk
2024-10-25 20:32
76K
n30f-2.0-r3.apk
2024-10-25 20:32
7.1K
nano-hare-0_git20231021-r0.apk
2024-10-25 20:32
2.2K
nbsdgames-5-r0.apk
2024-10-25 20:32
110K
nbsdgames-doc-5-r0.apk
2024-10-25 20:32
9.4K
newsyslog-1.2.0.91-r1.apk
2024-10-25 20:32
18K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 20:32
24K
nextpnr-0.7-r0.apk
2024-10-25 20:32
1.4K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 20:32
28M
nextpnr-generic-0.7-r0.apk
2024-10-25 20:32
783K
nextpnr-gowin-0.7-r0.apk
2024-10-25 20:32
1.5M
nextpnr-ice40-0.7-r0.apk
2024-10-25 20:33
74M
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 20:33
713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 20:33
21K
ngs-0.2.14-r0.apk
2024-10-25 20:33
295K
ngs-aws-0.2.14-r0.apk
2024-10-25 20:33
33K
ngs-vim-0.2.14-r0.apk
2024-10-25 20:33
4.9K
nitro-2.7_beta8-r2.apk
2024-10-25 20:33
593K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 20:33
190K
nkk-0_git20221010-r0.apk
2024-10-25 20:33
15K
nkk-dev-0_git20221010-r0.apk
2024-10-25 20:33
2.9K
nkk-doc-0_git20221010-r0.apk
2024-10-25 20:33
7.0K
noblenote-1.2.1-r1.apk
2024-10-25 20:33
406K
node-libpg-query-13.1.2-r5.apk
2024-10-25 20:33
19K
noggin-model-0.1-r0.apk
2024-10-25 20:33
12M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 20:33
1.7M
noice-0.8-r1.apk
2024-10-25 20:33
9.8K
noice-doc-0.8-r1.apk
2024-10-25 20:33
3.4K
nsnake-3.0.0-r0.apk
2024-10-25 20:33
9.2K
nsnake-doc-3.0.0-r0.apk
2024-10-25 20:33
2.6K
nuklear-4.12.0-r0.apk
2024-10-25 20:33
220K
nuklear-doc-4.12.0-r0.apk
2024-10-25 20:33
42K
nullmailer-2.2-r4.apk
2024-10-25 20:33
131K
nullmailer-doc-2.2-r4.apk
2024-10-25 20:33
10K
nullmailer-openrc-2.2-r4.apk
2024-10-25 20:33
1.6K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 20:33
55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 20:33
7.8K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 20:33
4.2K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 20:33
3.3K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 20:33
1.8K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 20:33
10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 20:33
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 20:33
2.6K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 20:33
3.5K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 20:33
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 20:33
3.8K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 20:33
2.0K
nvimpager-0.12.0-r0.apk
2024-10-25 20:33
13K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 20:33
4.4K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 20:33
1.8K
objconv-2.52_git20210213-r2.apk
2024-10-25 20:33
243K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 20:33
1.2M
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 20:33
45K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 20:33
69K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 20:33
4.7K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 20:33
3.3K
olsrd-0.9.8-r3.apk
2024-10-25 20:33
171K
olsrd-doc-0.9.8-r3.apk
2024-10-25 20:33
25K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 20:33
1.9K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 20:33
184K
openapi-tui-0.9.4-r1.apk
2024-10-25 20:33
4.2M
openapi-validator-1.19.2-r0.apk
2024-10-25 20:33
9.9M
openfpgaloader-0.11.0-r0.apk
2024-10-25 20:33
1.9M
openocd-riscv-0_git20230104-r2.apk
2024-10-25 20:34
1.6M
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 20:34
3.7K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 20:34
3.3K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 20:34
3.3K
openscap-daemon-0.1.10-r9.apk
2024-10-25 20:34
60K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 20:34
18K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 20:34
102K
openswitcher-0.5.0-r4.apk
2024-10-25 20:34
148K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 20:34
9.4K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 20:34
2.0K
opkg-0.7.0-r0.apk
2024-10-25 20:34
10K
opkg-dev-0.7.0-r0.apk
2024-10-25 20:34
112K
opkg-doc-0.7.0-r0.apk
2024-10-25 20:34
7.7K
opkg-libs-0.7.0-r0.apk
2024-10-25 20:34
84K
opkg-utils-0.7.0-r0.apk
2024-10-25 20:34
25K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 20:34
3.6K
opmsg-1.84-r1.apk
2024-10-25 20:34
259K
osmctools-0.9-r0.apk
2024-10-25 20:34
128K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 20:34
102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 20:34
48K
otrs-6.0.48-r2.apk
2024-10-25 20:34
29M
otrs-apache2-6.0.48-r2.apk
2024-10-25 20:34
4.0K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 20:34
2.4K
otrs-dev-6.0.48-r2.apk
2024-10-25 20:34
3.9M
otrs-doc-6.0.48-r2.apk
2024-10-25 20:34
795K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 20:34
1.8K
otrs-nginx-6.0.48-r2.apk
2024-10-25 20:34
1.8K
otrs-openrc-6.0.48-r2.apk
2024-10-25 20:34
1.9K
otrs-setup-6.0.48-r2.apk
2024-10-25 20:34
107K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 20:34
46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 20:34
3.9K
ovpncc-0.1_rc1-r0.apk
2024-10-25 20:34
12K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 20:34
6.5K
oxygen-icons-6.1.0-r0.apk
2024-10-25 20:34
32M
p0f-3.09b-r3.apk
2024-10-25 20:34
85K
p0f-doc-3.09b-r3.apk
2024-10-25 20:34
25K
p910nd-0.97-r2.apk
2024-10-25 20:34
7.7K
p910nd-doc-0.97-r2.apk
2024-10-25 20:34
3.0K
p910nd-openrc-0.97-r2.apk
2024-10-25 20:34
1.8K
pacparser-1.4.5-r1.apk
2024-10-25 20:34
792K
pacparser-dev-1.4.5-r1.apk
2024-10-25 20:34
3.6K
pacparser-doc-1.4.5-r1.apk
2024-10-25 20:34
18K
pam-krb5-4.11-r1.apk
2024-10-25 20:34
24K
pam-krb5-doc-4.11-r1.apk
2024-10-25 20:34
24K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 20:34
8.8K
pamtester-0.1.2-r4.apk
2024-10-25 20:34
9.3K
pamtester-doc-0.1.2-r4.apk
2024-10-25 20:34
2.9K
paperkey-1.6-r2.apk
2024-10-25 20:34
16K
paperkey-doc-1.6-r2.apk
2024-10-25 20:34
4.5K
par-1.53.0-r1.apk
2024-10-25 20:34
15K
par-doc-1.53.0-r1.apk
2024-10-25 20:34
30K
pash-2.3.0-r2.apk
2024-10-25 20:34
4.3K
pasystray-0.8.2-r0.apk
2024-10-25 20:34
48K
pasystray-doc-0.8.2-r0.apk
2024-10-25 20:34
3.3K
peervpn-0.044-r5.apk
2024-10-25 20:34
41K
peervpn-openrc-0.044-r5.apk
2024-10-25 20:34
1.8K
peg-0.1.18-r1.apk
2024-10-25 20:34
35K
peg-doc-0.1.18-r1.apk
2024-10-25 20:34
14K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 20:34
1.3M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 20:34
16K
percona-toolkit-3.5.4-r1.apk
2024-10-25 20:34
1.8M
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 20:34
298K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 20:34
5.7K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 20:34
5.1K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 20:34
6.1K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 20:34
4.6K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 20:34
79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 20:34
148K
perl-aliased-0.34-r4.apk
2024-10-25 20:34
5.7K
perl-aliased-doc-0.34-r4.apk
2024-10-25 20:34
5.7K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 20:34
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 20:34
4.0K
perl-archive-extract-0.88-r1.apk
2024-10-25 20:34
16K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 20:34
6.8K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 20:34
3.9K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 20:34
3.6K
perl-cgi-expand-2.05-r4.apk
2024-10-25 20:34
6.9K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 20:34
6.2K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 20:34
12K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 20:34
7.5K
perl-class-c3-0.35-r1.apk
2024-10-25 20:34
9.5K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 20:34
5.5K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 20:34
5.3K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 20:34
9.3K
perl-constant-defer-6-r5.apk
2024-10-25 20:34
7.4K
perl-constant-defer-doc-6-r5.apk
2024-10-25 20:34
6.9K
perl-constant-generate-0.17-r5.apk
2024-10-25 20:34
8.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 20:34
7.0K
perl-context-preserve-0.03-r4.apk
2024-10-25 20:34
3.9K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 20:34
4.2K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 20:34
7.0K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 20:34
6.4K
perl-daemon-control-0.001010-r2.apk
2024-10-25 20:34
12K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 20:34
8.3K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 20:34
15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 20:34
15K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 20:34
4.9K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 20:34
5.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 20:34
9.7K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 20:34
8.5K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 20:34
5.5K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 20:34
4.2K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 20:34
8.8K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 20:34
5.9K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 20:34
14K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 20:34
9.3K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 20:34
5.9K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 20:34
5.3K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 20:34
4.3K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 20:34
7.5K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 20:34
8.1K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 20:34
8.5K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 20:34
5.6K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 20:34
5.2K
perl-email-abstract-3.010-r0.apk
2024-10-25 20:34
7.6K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 20:34
13K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 20:34
4.0K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 20:34
3.8K
perl-email-reply-1.204-r5.apk
2024-10-25 20:34
6.1K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 20:34
4.8K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 20:34
43K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 20:34
21K
perl-ffi-c-0.15-r0.apk
2024-10-25 20:34
20K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 20:34
29K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 20:34
5.2K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 20:34
5.2K
perl-file-rename-2.02-r0.apk
2024-10-25 20:34
7.5K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 20:34
12K
perl-full-1.004-r0.apk
2024-10-25 20:34
7.1K
perl-full-doc-1.004-r0.apk
2024-10-25 20:34
10K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 20:34
23K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 20:34
17K
perl-html-tableextract-2.15-r4.apk
2024-10-25 20:34
18K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 20:34
9.9K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 20:34
4.2K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 20:34
4.1K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 20:34
5.8K
perl-log-fu-0.31-r4.apk
2024-10-25 20:34
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 20:34
7.3K
perl-log-message-0.08-r3.apk
2024-10-25 20:34
11K
perl-log-message-doc-0.08-r3.apk
2024-10-25 20:34
12K
perl-log-message-simple-0.10-r3.apk
2024-10-25 20:34
4.2K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 20:34
4.0K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 20:34
6.4K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 20:34
5.7K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 20:34
8.9K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 20:34
2.7K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 20:34
12K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 20:34
3.0K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 20:34
3.1K
perl-net-irr-0.10-r0.apk
2024-10-25 20:34
5.5K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 20:34
5.2K
perl-number-format-1.76-r1.apk
2024-10-25 20:34
15K
perl-number-format-doc-1.76-r1.apk
2024-10-25 20:34
9.0K
perl-number-tolerant-1.710-r0.apk
2024-10-25 20:34
15K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 20:34
26K
perl-openapi-client-1.07-r0.apk
2024-10-25 20:34
8.7K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 20:34
7.4K
perl-path-iter-0.2-r3.apk
2024-10-25 20:34
5.2K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 20:34
5.2K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 20:34
3.9K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 20:34
3.3K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 20:34
3.2K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 20:34
3.1K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 20:34
4.6K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 20:34
4.9K
perl-pod-tidy-0.10-r1.apk
2024-10-25 20:34
10K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 20:34
11K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 20:34
8.8K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 20:34
7.4K
perl-soap-lite-1.27-r5.apk
2024-10-25 20:34
110K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 20:34
90K
perl-sort-naturally-1.03-r4.apk
2024-10-25 20:34
8.7K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 20:34
5.5K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 20:34
30K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 20:34
20K
perl-starman-0.4017-r0.apk
2024-10-25 20:34
13K
perl-starman-doc-0.4017-r0.apk
2024-10-25 20:34
10K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 20:34
9.2K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 20:34
50K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 20:34
30K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 20:34
38K
perl-string-camelcase-0.04-r2.apk
2024-10-25 20:34
3.2K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 20:34
3.5K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 20:34
2.7K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 20:34
3.0K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 20:34
4.9K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 20:34
4.4K
perl-term-ui-0.50-r1.apk
2024-10-25 20:34
10K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 20:34
8.5K
perl-test-api-0.010-r2.apk
2024-10-25 20:34
5.1K
perl-test-api-doc-0.010-r2.apk
2024-10-25 20:34
4.3K
perl-test-distribution-2.00-r1.apk
2024-10-25 20:34
7.8K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 20:34
6.1K
perl-test-modern-0.013-r3.apk
2024-10-25 20:34
15K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 20:34
9.9K
perl-test-roo-1.004-r3.apk
2024-10-25 20:34
12K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 20:34
15K
perl-test-trap-0.3.5-r1.apk
2024-10-25 20:34
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 20:34
20K
perl-text-brew-0.02-r5.apk
2024-10-25 20:34
4.5K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 20:34
4.1K
perl-throwable-1.001-r1.apk
2024-10-25 20:34
6.2K
perl-throwable-doc-1.001-r1.apk
2024-10-25 20:34
8.0K
perl-url-encode-0.03-r4.apk
2024-10-25 20:34
5.2K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 20:34
4.7K
perl-xml-rpc-2.1-r0.apk
2024-10-25 20:34
5.7K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 20:34
4.9K
pest-language-server-0.3.9-r0.apk
2024-10-25 20:34
1.3M
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 20:34
3.9M
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 20:34
1.8K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 20:34
287K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 20:34
20K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 20:34
55K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:34
41K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:34
11K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 20:34
32K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 20:34
7.7K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 20:34
45K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 20:34
27K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 20:34
19K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 20:34
30K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 20:34
6.8K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 20:34
9.9K
php81-pecl-vips-1.0.13-r0.apk
2024-10-25 20:34
18K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 20:34
13K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 20:34
801K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 20:34
38K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:34
4.6K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:34
41K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:34
11K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 20:34
32K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 20:34
135K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:34
4.6K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:34
11K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 20:34
55K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 20:34
33K
pick-4.0.0-r0.apk
2024-10-25 20:34
10K
pick-doc-4.0.0-r0.apk
2024-10-25 20:34
3.3K
pimd-3.0_git20220201-r0.apk
2024-10-25 20:34
87K
pimd-dense-2.1.0-r0.apk
2024-10-25 20:34
53K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 20:34
20K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 20:34
1.9K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 20:34
35K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 20:34
1.6K
pithos-1.6.1-r0.apk
2024-10-25 20:34
104K
pithos-doc-1.6.1-r0.apk
2024-10-25 20:34
2.1K
pithos-pyc-1.6.1-r0.apk
2024-10-25 20:34
154K
platformio-core-6.1.7-r3.apk
2024-10-25 20:34
263K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 20:34
552K
plib-1.8.5-r3.apk
2024-10-25 20:34
868K
plplot-5.15.0-r2.apk
2024-10-25 20:34
31K
plplot-dev-5.15.0-r2.apk
2024-10-25 20:34
59K
plplot-doc-5.15.0-r2.apk
2024-10-25 20:34
311K
plplot-libs-5.15.0-r2.apk
2024-10-25 20:34
203K
pmccabe-2.8-r1.apk
2024-10-25 20:34
24K
pmccabe-doc-2.8-r1.apk
2024-10-25 20:34
7.1K
pokoy-0.2.5-r0.apk
2024-10-25 20:34
9.7K
pokoy-doc-0.2.5-r0.apk
2024-10-25 20:34
3.0K
policycoreutils-3.6-r1.apk
2024-10-25 20:34
57K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 20:34
2.4K
policycoreutils-doc-3.6-r1.apk
2024-10-25 20:34
22K
policycoreutils-lang-3.6-r1.apk
2024-10-25 20:34
105K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 20:34
2.4K
postgresql-hll-2.18-r0.apk
2024-10-25 20:34
28K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 20:34
58K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 20:34
314K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 20:34
71K
powder-toy-97.0.352-r1.apk
2024-10-25 20:34
848K
powerstat-0.04.01-r0.apk
2024-10-25 20:34
19K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 20:34
2.3K
powerstat-doc-0.04.01-r0.apk
2024-10-25 20:34
4.3K
pqiv-2.12-r1.apk
2024-10-25 20:34
70K
pqiv-doc-2.12-r1.apk
2024-10-25 20:34
12K
prjtrellis-1.4-r2.apk
2024-10-25 20:34
1.3M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 20:34
3.3K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 20:34
2.1M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 20:34
39K
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 20:34
1.0M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 20:34
1.1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 20:34
748K
projectm-3.1.12-r2.apk
2024-10-25 20:34
461K
projectm-dev-3.1.12-r2.apk
2024-10-25 20:34
625K
projectm-presets-3.1.12-r2.apk
2024-10-25 20:34
4.3M
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 20:34
436K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 20:34
2.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 20:34
340K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 20:34
5.0K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.6K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 20:34
3.1K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.9K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.0K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:34
7.2K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 20:34
3.3K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.8K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.9K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.0K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.8K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.2K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 20:34
5.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 20:34
5.6K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:34
6.9K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 20:34
3.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 20:34
104K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.7K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.0K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.8K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 20:34
8.6K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.0K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.0K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.7K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 20:34
2.6K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 20:34
1.5K
psftools-1.1.2-r0.apk
2024-10-25 20:34
285K
psftools-dev-1.1.2-r0.apk
2024-10-25 20:34
84K
psftools-doc-1.1.2-r0.apk
2024-10-25 20:34
60K
psi-notify-1.3.1-r0.apk
2024-10-25 20:34
10K
psst-0_git20240526-r1.apk
2024-10-25 20:34
8.2M
ptpd-2.3.1-r1.apk
2024-10-25 20:34
175K
ptpd-doc-2.3.1-r1.apk
2024-10-25 20:34
20K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 20:34
2.4K
pully-1.0.0-r0.apk
2024-10-25 20:34
2.5K
pully-openrc-1.0.0-r0.apk
2024-10-25 20:34
1.7K
pulseview-0.4.2-r8.apk
2024-10-25 20:34
1.0M
pulseview-doc-0.4.2-r8.apk
2024-10-25 20:34
3.7K
purple-facebook-0.9.6-r0.apk
2024-10-25 20:34
78K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 20:34
246K
pwauth-2.3.11-r2.apk
2024-10-25 20:34
3.8K
pwauth-doc-2.3.11-r2.apk
2024-10-25 20:34
6.8K
pxalarm-3.0.0-r0.apk
2024-10-25 20:34
2.9K
pxmenu-1.0.0-r1.apk
2024-10-25 20:34
2.9K
py3-actdiag-3.0.0-r5.apk
2024-10-25 20:34
17K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 20:34
21K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 20:34
29K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 20:34
60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 20:34
446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 20:34
51K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 20:34
12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 20:34
9.2K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 20:34
10K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 20:34
15K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 20:34
21K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 20:34
19K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 20:34
30K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 20:34
16K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 20:34
24K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 20:34
388K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 20:34
18K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 20:34
673K
py3-allfiles-1.0-r8.apk
2024-10-25 20:34
3.6K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 20:34
3.3K
py3-altgraph-0.17.4-r1.apk
2024-10-25 20:34
21K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 20:34
29K
py3-anyascii-0.3.2-r1.apk
2024-10-25 20:34
275K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 20:34
3.3K
py3-apicula-0.11.1-r1.apk
2024-10-25 20:34
8.5M
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 20:34
179K
py3-apio-0.9.5-r0.apk
2024-10-25 20:34
72K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 20:34
77K
py3-asif-0.3.2-r3.apk
2024-10-25 20:34
13K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 20:34
26K
py3-ask-0.0.8-r8.apk
2024-10-25 20:34
5.0K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 20:34
4.5K
py3-astral-3.2-r3.apk
2024-10-25 20:34
37K
py3-astral-pyc-3.2-r3.apk
2024-10-25 20:34
59K
py3-avro-1.11.3-r1.apk
2024-10-25 20:34
98K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 20:34
191K
py3-banal-1.0.6-r4.apk
2024-10-25 20:34
6.9K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 20:34
7.2K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 20:34
16K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 20:34
4.3K
py3-bencode-4.0.0-r1.apk
2024-10-25 20:34
17K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 20:34
10K
py3-bidict-0.23.1-r1.apk
2024-10-25 20:34
28K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 20:34
29K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 20:34
36K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 20:34
13K
py3-bleak-0.22.3-r0.apk
2024-10-25 20:34
370K
py3-blockchain-1.4.4-r7.apk
2024-10-25 20:34
11K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 20:34
18K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 20:34
4.9K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 20:34
5.2K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 20:34
4.3K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 20:34
3.3K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 20:34
3.1K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 20:34
4.0K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 20:34
3.7K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 20:34
3.2K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 20:34
2.6K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 20:34
6.1K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 20:34
5.2K
py3-bottle-session-1.0-r6.apk
2024-10-25 20:34
10K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 20:34
7.8K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 20:34
4.8K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 20:34
5.6K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 20:34
4.7K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 20:34
5.2K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 20:34
4.6K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 20:34
3.1K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 20:34
4.1K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 20:34
4.3K
py3-bson-0.5.10-r6.apk
2024-10-25 20:34
12K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 20:34
19K
py3-businesstime-0.3.0-r9.apk
2024-10-25 20:34
11K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 20:34
16K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 20:34
286K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 20:34
560K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 20:34
57K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 20:34
103K
py3-cchardet-2.1.7-r5.apk
2024-10-25 20:34
127K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 20:34
3.0K
py3-certauth-1.3.0-r1.apk
2024-10-25 20:34
8.7K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 20:34
9.1K
py3-class-doc-1.25-r1.apk
2024-10-25 20:34
6.1K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 20:34
8.7K
py3-click-completion-0.5.2-r1.apk
2024-10-25 20:34
11K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 20:34
14K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 20:34
5.1K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 20:34
4.5K
py3-click-threading-0.5.0-r5.apk
2024-10-25 20:34
6.3K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 20:34
7.9K
py3-clickclick-20.10.2-r4.apk
2024-10-25 20:34
7.9K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 20:34
9.8K
py3-cmd2-2.4.3-r2.apk
2024-10-25 20:34
139K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 20:34
223K
py3-cobs-1.2.0-r4.apk
2024-10-25 20:34
17K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 20:34
12K
py3-colander-2.0-r2.apk
2024-10-25 20:34
62K
py3-colander-pyc-2.0-r2.apk
2024-10-25 20:34
42K
py3-colorthief-0.2.1-r1.apk
2024-10-25 20:34
7.3K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 20:34
10K
py3-columnize-0.3.11-r4.apk
2024-10-25 20:34
8.5K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 20:34
7.5K
py3-compdb-0.2.0-r8.apk
2024-10-25 20:34
23K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 20:34
3.0K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 20:34
40K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 20:34
35K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 20:34
3.7K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 20:34
47K
py3-coreapi-2.3.3-r9.apk
2024-10-25 20:34
22K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 20:34
43K
py3-crc16-0.1.1-r10.apk
2024-10-25 20:34
12K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 20:34
4.7K
py3-cssutils-2.11.1-r1.apk
2024-10-25 20:34
155K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 20:34
279K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 20:34
696K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 20:34
936K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 20:34
27K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 20:34
36K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 20:34
11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 20:34
14K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 20:34
4.4K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 20:34
4.2K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 20:34
13K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 20:34
2.3K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 20:34
20K
py3-dexml-0.5.1-r9.apk
2024-10-25 20:34
22K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 20:34
37K
py3-distorm3-3.5.2-r6.apk
2024-10-25 20:34
47K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 20:34
49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 20:34
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 20:34
15K
py3-django-suit-0.2.28-r8.apk
2024-10-25 20:34
366K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 20:34
32K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 20:34
4.0K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 20:34
5.0K
py3-doit-0.36.0-r5.apk
2024-10-25 20:34
77K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 20:34
133K
py3-dominate-2.9.1-r1.apk
2024-10-25 20:34
25K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 20:34
34K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 20:34
8.4K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 20:34
8.7K
py3-dpath-2.2.0-r0.apk
2024-10-25 20:34
17K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 20:34
18K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 20:34
221K
py3-dweepy-0.3.0-r7.apk
2024-10-25 20:34
9.1K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 20:34
6.3K
py3-ecos-2.0.11-r4.apk
2024-10-25 20:34
28K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 20:34
3.6K
py3-edalize-0.5.4-r0.apk
2024-10-25 20:34
123K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 20:34
190K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 20:34
15K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 20:34
2.0K
py3-empy-3.3.4-r7.apk
2024-10-25 20:34
39K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 20:34
58K
py3-eradicate-2.3.0-r2.apk
2024-10-25 20:34
7.6K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 20:34
2.5K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 20:34
8.3K
py3-euclid3-0.01-r8.apk
2024-10-25 20:34
14K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 20:34
33K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 20:34
38K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 20:34
4.2K
py3-feedgen-1.0.0-r1.apk
2024-10-25 20:34
40K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 20:34
62K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 20:34
18K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 20:34
27K
py3-firmata-1.0.3-r10.apk
2024-10-25 20:34
14K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 20:34
21K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 20:34
5.2K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 20:34
2.6K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 20:34
18K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 20:34
3.3K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 20:34
6.2K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 20:34
6.0K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 20:34
15K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 20:34
17K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 20:34
7.1K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 20:34
5.7K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 20:34
6.7K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 20:34
4.4K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 20:34
5.3K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 20:34
3.7K
py3-flake8-todo-0.7-r7.apk
2024-10-25 20:34
3.6K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 20:34
2.2K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 20:34
6.5M
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 20:34
358K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 20:34
5.1K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 20:34
4.9K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 20:34
5.3K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 20:34
4.1K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 20:34
7.1K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 20:34
5.8K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 20:34
13K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 20:34
18K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 20:34
4.8K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 20:34
4.1K
py3-flask-components-0.1.1-r9.apk
2024-10-25 20:34
3.9K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 20:34
3.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 20:34
86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 20:34
6.3K
py3-flask-gzip-0.2-r8.apk
2024-10-25 20:34
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 20:34
2.8K
py3-flask-headers-1.0-r9.apk
2024-10-25 20:34
3.2K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 20:34
2.5K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 20:34
4.1K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 20:34
3.4K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 20:34
5.6K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 20:34
7.9K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 20:34
16K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 20:34
26K
py3-flask-markdown-0.3-r8.apk
2024-10-25 20:34
5.6K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 20:34
3.9K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 20:34
8.2K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 20:34
11K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 20:34
172K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:34
95K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 20:34
115K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 20:34
20K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 20:34
40K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 20:34
59K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 20:34
7.9K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 20:34
7.0K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 20:34
9.0K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 20:34
9.7K
py3-fpdf-1.7.2-r5.apk
2024-10-25 20:34
40K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 20:34
89K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 20:34
161K
py3-geoip-1.3.2-r4.apk
2024-10-25 20:34
23K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 20:34
20K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 20:34
30K
py3-git-versioner-7.1-r1.apk
2024-10-25 20:34
12K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 20:34
14K
py3-github3-4.0.1-r1.apk
2024-10-25 20:34
128K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 20:34
227K
py3-glob2-0.7-r6.apk
2024-10-25 20:34
10K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 20:34
13K
py3-gls-1.3.1-r1.apk
2024-10-25 20:34
47K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 20:34
84K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 20:34
9.2K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 20:34
11K
py3-googletrans-3.0.0-r5.apk
2024-10-25 20:34
15K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 20:34
17K
py3-halo-0.0.31-r5.apk
2024-10-25 20:34
11K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 20:34
14K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 20:34
25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 20:34
24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 20:34
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 20:34
13K
py3-helper-2.5.0-r5.apk
2024-10-25 20:34
19K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 20:34
28K
py3-hg-git-1.1.1-r1.apk
2024-10-25 20:34
70K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 20:34
107K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 20:34
184K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 20:34
22K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 20:34
4.6K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 20:34
3.3K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 20:34
16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 20:34
20K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 20:34
229K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 20:34
243K
py3-incoming-0.3.1-r8.apk
2024-10-25 20:34
13K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 20:34
20K
py3-infinity-1.5-r6.apk
2024-10-25 20:34
4.4K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 20:34
3.7K
py3-iniparse-0.5-r7.apk
2024-10-25 20:34
19K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 20:34
10K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 20:34
25K
py3-intervals-0.9.2-r5.apk
2024-10-25 20:34
9.4K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 20:34
15K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 20:34
7.6K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 20:34
9.5K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 20:34
5.9K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 20:34
6.1K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 20:34
125K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 20:34
245K
py3-keepalive-0.5-r5.apk
2024-10-25 20:34
9.0K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 20:34
2.0K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 20:34
13K
py3-kerberos-1.3.1-r5.apk
2024-10-25 20:34
18K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 20:34
8.4K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 20:34
9.5K
py3-langcodes-3.3.0-r2.apk
2024-10-25 20:34
174K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 20:34
110K
py3-lib_users-0.15-r4.apk
2024-10-25 20:34
16K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 20:34
9.5K
py3-libiio-0.25-r2.apk
2024-10-25 20:34
13K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 20:34
28K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 20:34
33K
py3-libnacl-2.1.0-r1.apk
2024-10-25 20:34
20K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 20:34
30K
py3-librtmp-0.3.0-r6.apk
2024-10-25 20:34
38K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 20:34
25K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 20:34
21K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 20:34
23K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 20:34
1.6K
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 20:34
1.1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 20:34
5.6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 20:34
1.9M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 20:34
934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 20:34
500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 20:34
10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 20:34
1.8M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 20:34
112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 20:34
208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 20:34
19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 20:34
45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 20:34
221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 20:34
7.6K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 20:34
230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 20:34
57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 20:34
675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 20:34
713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 20:34
2.4M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 20:34
58K
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 20:34
2.2M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 20:34
4.7M
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 20:34
112K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 20:34
4.2K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 20:34
3.1K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 20:34
69K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 20:34
107K
py3-luhn-0.2.0-r9.apk
2024-10-25 20:34
4.0K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 20:34
2.6K
py3-lunr-0.6.2-r4.apk
2024-10-25 20:34
32K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 20:34
51K
py3-lzo-1.16-r1.apk
2024-10-25 20:34
17K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 20:34
2.0K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 20:34
213K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 20:34
123K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 20:34
62K
py3-markdown2-2.5.0-r0.apk
2024-10-25 20:34
47K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 20:34
75K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 20:34
5.3K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 20:34
4.5K
py3-migen-0.9.2-r2.apk
2024-10-25 20:34
143K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 20:34
296K
py3-mnemonic-0.21-r0.apk
2024-10-25 20:34
95K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 20:34
2.4K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 20:34
9.7K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 20:34
25K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 20:34
48K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 20:34
25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 20:34
37K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 20:34
46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 20:34
74K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 20:34
25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 20:34
36K
py3-more-properties-1.1.1-r3.apk
2024-10-25 20:34
7.4K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 20:34
8.2K
py3-natpmp-1.3.2-r1.apk
2024-10-25 20:34
9.4K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 20:34
9.9K
py3-ncclient-0.6.13-r5.apk
2024-10-25 20:34
68K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 20:34
107K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 20:34
224K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 20:34
9.2K
py3-nmap-0.7.1-r4.apk
2024-10-25 20:34
20K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 20:34
25K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 20:34
9.4K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 20:34
9.9K
py3-notifymail-1.1-r8.apk
2024-10-25 20:34
7.6K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 20:34
5.7K
py3-nptyping-2.5.0-r3.apk
2024-10-25 20:34
21K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 20:34
32K
py3-ntplib-0.4.0-r5.apk
2024-10-25 20:34
7.4K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 20:34
8.6K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 20:34
4.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 20:34
78K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 20:34
7.6K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 20:34
12K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 20:34
8.2K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 20:34
10K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 20:34
498K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 20:34
42K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 20:34
12K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 20:34
17K
py3-osqp-0.6.2-r6.apk
2024-10-25 20:34
117K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 20:34
49K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 20:34
77K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 20:34
10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 20:34
8.2K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 20:34
46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 20:34
91K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 20:34
103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 20:34
164K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 20:34
358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 20:34
437K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 20:34
550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 20:34
86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 20:34
47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 20:34
104K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 20:34
4.5K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 20:34
4.4K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 20:34
10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 20:34
6.8K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 20:34
8.3K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 20:34
4.1K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 20:34
9.5K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 20:34
5.4K
py3-pacparser-1.4.5-r1.apk
2024-10-25 20:34
427K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 20:34
4.0K
py3-padacioso-0.2.1-r0.apk
2024-10-25 20:34
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 20:34
12K
py3-pam-2.0.2-r2.apk
2024-10-25 20:34
11K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 20:34
13K
py3-pbkdf2-1.3-r7.apk
2024-10-25 20:34
6.3K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 20:34
7.1K
py3-pelican-4.9.1-r2.apk
2024-10-25 20:34
234K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 20:34
147K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 20:34
9.8K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 20:34
13K
py3-phpserialize-1.3-r8.apk
2024-10-25 20:34
8.9K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 20:34
11K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 20:34
13K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 20:34
2.2K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 20:34
17K
py3-piccata-2.0.3-r1.apk
2024-10-25 20:34
20K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 20:34
34K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 20:34
46K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 20:34
36K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 20:34
7.0K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 20:34
4.7K
py3-playsound-1.3.0-r1.apk
2024-10-25 20:34
6.9K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 20:34
8.5K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 20:34
15K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 20:34
25K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 20:34
14K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 20:34
16K
py3-print-color-0.4.6-r0.apk
2024-10-25 20:34
8.9K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 20:34
2.6K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 20:34
5.0K
py3-proglog-0.1.10-r2.apk
2024-10-25 20:34
7.4K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 20:34
9.9K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 20:34
21K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 20:34
38K
py3-pyatem-0.5.0-r4.apk
2024-10-25 20:34
52K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 20:34
92K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 20:34
35K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 20:34
45K
py3-pybars3-0.9.7-r6.apk
2024-10-25 20:34
15K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 20:34
17K
py3-pycaption-2.2.15-r0.apk
2024-10-25 20:34
360K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 20:34
5.5K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 20:34
3.7K
py3-pycosat-0.6.6-r2.apk
2024-10-25 20:34
48K
py3-pydes-2.0.1-r5.apk
2024-10-25 20:34
11K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 20:34
3.6K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 20:34
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 20:34
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 20:34
13K
py3-pygtail-0.14.0-r3.apk
2024-10-25 20:34
15K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 20:34
10K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 20:34
1.9M
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 20:34
502K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 20:34
20K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 20:34
9.4K
py3-pylru-1.2.1-r1.apk
2024-10-25 20:34
17K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 20:34
8.9K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 20:34
18K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 20:34
35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 20:34
52K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 20:34
32K
py3-pymata-2.20-r4.apk
2024-10-25 20:34
22K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 20:34
29K
py3-pymata4-1.15-r4.apk
2024-10-25 20:34
23K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 20:34
31K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 20:34
17K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 20:34
32K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 20:34
9.2K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 20:34
9.8K
py3-pyparted-3.13.0-r1.apk
2024-10-25 20:34
82K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 20:34
42K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 20:34
52K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 20:34
2.1K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 20:34
90K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 20:34
37K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 20:34
4.3K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 20:34
47K
py3-pyroma-4.2-r0.apk
2024-10-25 20:34
22K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 20:34
26K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 20:34
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 20:34
14K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 20:34
44K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 20:34
78K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 20:34
56K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 20:34
63K
py3-pystache-0.6.5-r1.apk
2024-10-25 20:34
68K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 20:34
97K
py3-pytap2-2.3.0-r0.apk
2024-10-25 20:34
7.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 20:34
2.8K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 20:34
6.0K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 20:34
5.9K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 20:34
7.0K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 20:34
4.5K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 20:34
2.8K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 20:34
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 20:34
22K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 20:34
10K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 20:34
7.9K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 20:34
20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 20:34
25K
py3-python-archive-0.2-r7.apk
2024-10-25 20:34
7.4K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 20:34
9.3K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 20:34
8.6K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 20:34
2.3K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 20:34
8.4K
py3-python-stdnum-1.20-r0.apk
2024-10-25 20:34
806K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 20:34
293K
py3-qasync-0.19.0-r2.apk
2024-10-25 20:34
37K
py3-qdldl-0.1.5-r4.apk
2024-10-25 20:34
103K
py3-qpageview-0.6.2-r1.apk
2024-10-25 20:34
98K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 20:34
56K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 20:34
180K
py3-qt.py-1.3.10-r1.apk
2024-10-25 20:34
33K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 20:34
25K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 20:34
8.8K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 20:34
7.8K
py3-rabbit-1.1.0-r8.apk
2024-10-25 20:34
11K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 20:34
15K
py3-radon-6.0.1-r2.apk
2024-10-25 20:34
32K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 20:34
5.1K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 20:34
50K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 20:34
12K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 20:34
18K
py3-redmine-2.5.0-r0.apk
2024-10-25 20:34
37K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 20:34
54K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 20:34
5.5K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 20:34
6.6K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 20:34
13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 20:34
12K
py3-rich-click-1.7.3-r1.apk
2024-10-25 20:34
31K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 20:34
40K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 20:34
13K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 20:34
11K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 20:34
47K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 20:34
91K
py3-rospkg-1.2.9-r5.apk
2024-10-25 20:34
29K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 20:34
54K
py3-rpio-0.10.1-r8.apk
2024-10-25 20:34
37K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 20:34
16K
py3-rst-0.1-r9.apk
2024-10-25 20:34
5.6K
py3-rst-pyc-0.1-r9.apk
2024-10-25 20:34
6.1K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 20:34
6.1K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 20:34
6.6K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 20:34
12K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 20:34
2.2K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 20:34
24K
py3-scour-0.38.2-r1.apk
2024-10-25 20:34
56K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 20:34
74K
py3-scs-3.2.3-r4.apk
2024-10-25 20:34
119K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 20:34
4.9K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 20:34
2.5M
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:34
42K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 20:34
5.4K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 20:34
6.0K
py3-shodan-1.31.0-r1.apk
2024-10-25 20:34
44K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 20:34
7.2K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 20:34
79K
py3-simplematch-1.4-r1.apk
2024-10-25 20:34
8.0K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 20:34
5.8K
py3-simplesat-0.8.2-r0.apk
2024-10-25 20:34
214K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 20:34
157K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 20:34
7.9K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 20:34
12K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 20:34
7.5K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 20:34
8.1K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 20:34
384K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 20:34
5.8K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 20:34
729K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 20:34
15K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 20:34
26K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 20:34
17K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 20:34
27K
py3-solidpython-1.1.2-r2.apk
2024-10-25 20:34
79K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 20:34
120K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 20:34
11K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 20:34
14K
py3-spake2-0.9-r0.apk
2024-10-25 20:34
30K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 20:34
44K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 20:34
14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 20:34
22K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 20:34
11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 20:34
2.0K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 20:34
1.2M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 20:34
2.3K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 20:34
65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 20:34
1.8K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 20:34
81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 20:34
43K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 20:34
30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 20:34
2.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 20:34
2.4M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 20:34
5.5K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 20:34
8.8K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 20:34
2.2K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 20:34
7.6K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 20:34
9.3K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 20:34
9.0K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 20:34
12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 20:34
5.7K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 20:34
4.1K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 20:34
7.5K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 20:34
9.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 20:34
5.7K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 20:34
4.3K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 20:34
18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 20:34
34K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 20:34
9.1K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 20:34
3.5K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 20:34
3.9K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 20:34
3.3K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 20:34
7.9K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 20:34
7.6K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 20:34
11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 20:34
15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 20:34
18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 20:34
4.3K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 20:34
34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 20:34
21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 20:34
44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 20:34
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 20:34
15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 20:34
11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 20:34
12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 20:34
7.9K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 20:34
11K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 20:34
4.1K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 20:34
3.2K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 20:34
8.7K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 20:34
11K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 20:34
16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 20:34
24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 20:34
11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 20:34
20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 20:34
7.6K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:34
9.3K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 20:34
7.3K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 20:34
4.9K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 20:34
6.1K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 20:34
5.7K
py3-spidev-3.6-r1.apk
2024-10-25 20:34
15K
py3-spin-0.8-r0.apk
2024-10-25 20:34
19K
py3-spin-pyc-0.8-r0.apk
2024-10-25 20:34
24K
py3-spinners-0.0.24-r5.apk
2024-10-25 20:34
6.1K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 20:34
6.3K
py3-sstash-0.17-r9.apk
2024-10-25 20:34
7.7K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 20:34
10K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 20:34
2.5M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 20:34
2.1K
py3-tailer-0.4.1-r7.apk
2024-10-25 20:34
6.9K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 20:34
6.6K
py3-tasklib-2.5.1-r2.apk
2024-10-25 20:34
23K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 20:34
52K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 20:34
9.2K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 20:34
13K
py3-telemetrix-1.20-r3.apk
2024-10-25 20:34
21K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 20:34
31K
py3-teletype-1.3.4-r3.apk
2024-10-25 20:34
15K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 20:34
21K
py3-testresources-2.0.1-r6.apk
2024-10-25 20:34
17K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 20:34
16K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 20:34
10K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 20:34
8.9K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 20:34
6.0K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 20:34
6.4K
py3-timeago-1.0.16-r0.apk
2024-10-25 20:34
24K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 20:34
2.8K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 20:34
28K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 20:34
179K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 20:34
275K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 20:34
341K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 20:34
236K
py3-transitions-0.9.2-r0.apk
2024-10-25 20:34
98K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 20:34
129K
py3-translationstring-1.4-r4.apk
2024-10-25 20:34
9.2K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 20:34
8.8K
py3-trivup-0.12.2-r2.apk
2024-10-25 20:34
34K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 20:34
55K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 20:34
9.9K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 20:34
14K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 20:34
11K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 20:34
16K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 20:34
5.7K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 20:34
2.2K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 20:34
6.8K
py3-uptime-3.0.1-r9.apk
2024-10-25 20:34
9.7K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 20:34
8.7K
py3-urlobject-2.4.3-r9.apk
2024-10-25 20:34
15K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 20:34
25K
py3-us-3.2.0-r0.apk
2024-10-25 20:34
14K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 20:34
15K
py3-utc-0.0.3-r9.apk
2024-10-25 20:34
3.5K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 20:34
2.8K
py3-vatnumber-1.2-r9.apk
2024-10-25 20:34
19K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 20:34
8.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 20:34
4.6K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 20:34
2.6K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 20:34
41K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 20:34
80K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 20:34
7.6K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 20:34
13K
py3-wifi-0.3.8-r7.apk
2024-10-25 20:34
13K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 20:34
14K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 20:34
17K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 20:34
28K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 20:34
13K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:34
25K
py3-yapsy-1.12.2-r7.apk
2024-10-25 20:34
32K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 20:34
47K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 20:34
45K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 20:34
29K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 20:34
45K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 20:34
61K
pyradio-0.9.3.11-r0.apk
2024-10-25 20:34
871K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 20:34
113K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 20:34
810K
qdjango-0.6.2-r1.apk
2024-10-25 20:34
106K
qdjango-dev-0.6.2-r1.apk
2024-10-25 20:34
14K
qflipper-1.3.3-r1.apk
2024-10-25 20:34
533K
qflipper-gui-1.3.3-r1.apk
2024-10-25 20:34
1.1M
qml-box2d-0_git20180406-r0.apk
2024-10-25 20:34
143K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 20:34
1.5K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 20:34
6.8K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 20:34
31K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 20:34
174K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 20:34
54K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 20:34
62K
qsstv-9.5.8-r2.apk
2024-10-25 20:34
945K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 20:34
14K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 20:34
3.9K
qtpass-1.4.0-r0.apk
2024-10-25 20:34
431K
qtpass-doc-1.4.0-r0.apk
2024-10-25 20:34
2.1K
quakespasm-0.96.3-r0.apk
2024-10-25 20:34
497K
queercat-1.0.0-r0.apk
2024-10-25 20:34
7.7K
rattler-build-0.18.0-r0.apk
2024-10-25 20:34
6.7M
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 20:34
3.6K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 20:34
6.7K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 20:34
4.7K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 20:34
5.4K
rauc-1.10.1-r0.apk
2024-10-25 20:34
148K
rauc-doc-1.10.1-r0.apk
2024-10-25 20:34
4.2K
rauc-service-1.10.1-r0.apk
2024-10-25 20:34
3.7K
razercfg-0.42-r7.apk
2024-10-25 20:34
82K
razercfg-gui-0.42-r7.apk
2024-10-25 20:34
19K
razercfg-openrc-0.42-r7.apk
2024-10-25 20:34
1.7K
razercfg-pyc-0.42-r7.apk
2024-10-25 20:34
36K
rclone-browser-1.8.0-r1.apk
2024-10-25 20:34
330K
remake-1.5-r1.apk
2024-10-25 20:34
144K
remake-dev-1.5-r1.apk
2024-10-25 20:34
2.9K
remake-doc-1.5-r1.apk
2024-10-25 20:34
202K
remake-make-1.5-r1.apk
2024-10-25 20:34
1.5K
remind-caldav-0.8.0-r4.apk
2024-10-25 20:34
18K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 20:34
6.1K
repgrep-0.15.0-r0.apk
2024-10-25 20:34
1.3M
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 20:34
1.6K
repgrep-doc-0.15.0-r0.apk
2024-10-25 20:34
6.5K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 20:34
4.1K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 20:34
1.6K
restart-services-0.17.0-r0.apk
2024-10-25 20:34
12K
restart-services-doc-0.17.0-r0.apk
2024-10-25 20:34
5.9K
restic.mk-0.4.0-r0.apk
2024-10-25 20:34
2.9K
rgxg-0.1.2-r2.apk
2024-10-25 20:34
14K
rgxg-dev-0.1.2-r2.apk
2024-10-25 20:34
3.5K
rgxg-doc-0.1.2-r2.apk
2024-10-25 20:34
12K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 20:34
44K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 20:34
73K
rinetd-0.73-r0.apk
2024-10-25 20:34
15K
rinetd-doc-0.73-r0.apk
2024-10-25 20:34
16K
rinetd-openrc-0.73-r0.apk
2024-10-25 20:34
1.7K
rmlint-2.10.2-r2.apk
2024-10-25 20:35
146K
rmlint-doc-2.10.2-r2.apk
2024-10-25 20:35
18K
rmlint-lang-2.10.2-r2.apk
2024-10-25 20:35
19K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 20:35
96K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 20:35
124K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 20:35
5.5K
rosdep-0.19.0-r6.apk
2024-10-25 20:35
66K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 20:35
119K
rtl-power-fftw-20200601-r4.apk
2024-10-25 20:35
60K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 20:35
8.2K
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 20:35
4.0M
rtmidi-6.0.0-r0.apk
2024-10-25 20:35
31K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 20:35
14K
rtptools-1.22-r2.apk
2024-10-25 20:35
28K
rtptools-doc-1.22-r2.apk
2024-10-25 20:35
13K
rtw89-src-7_p20230725-r0.apk
2024-10-25 20:35
759K
rvlprog-0.91-r2.apk
2024-10-25 20:35
27K
ry-0.5.2-r1.apk
2024-10-25 20:35
4.6K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 20:35
2.0K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 20:35
2.3K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 20:35
59K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 20:35
8.5K
s-postgray-0.8.3-r0.apk
2024-10-25 20:35
48K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 20:35
9.6K
saait-0.8-r0.apk
2024-10-25 20:35
7.1K
saait-doc-0.8-r0.apk
2024-10-25 20:35
13K
sbase-0_git20210730-r3.apk
2024-10-25 20:35
125K
sbase-doc-0_git20210730-r3.apk
2024-10-25 20:35
58K
sblg-0.5.11-r0.apk
2024-10-25 20:35
39K
sblg-doc-0.5.11-r0.apk
2024-10-25 20:35
1.3M
sblim-sfcc-2.2.8-r3.apk
2024-10-25 20:35
57K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 20:35
22K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 20:35
35K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 20:35
99K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 20:35
4.5K
scap-workbench-1.2.1-r3.apk
2024-10-25 20:35
236K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 20:35
1.6M
scooper-1.3-r1.apk
2024-10-25 20:35
538K
scooper-doc-1.3-r1.apk
2024-10-25 20:35
2.6K
sct-2018.12.18-r1.apk
2024-10-25 20:35
3.8K
sdparm-1.12-r1.apk
2024-10-25 20:36
158K
sdparm-doc-1.12-r1.apk
2024-10-25 20:36
19K
sedutil-1.15.1-r1.apk
2024-10-25 20:36
182K
sedutil-doc-1.15.1-r1.apk
2024-10-25 20:36
3.1K
setroot-2.0.2-r1.apk
2024-10-25 20:36
12K
setroot-doc-2.0.2-r1.apk
2024-10-25 20:36
4.4K
sflowtool-6.02-r0.apk
2024-10-25 20:36
42K
sflowtool-doc-6.02-r0.apk
2024-10-25 20:36
9.4K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 20:36
3.1M
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 20:36
231K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 20:36
3.5K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 20:36
28K
shc-4.0.3-r2.apk
2024-10-25 20:36
21K
shellinabox-2.21-r3.apk
2024-10-25 20:36
121K
shellinabox-doc-2.21-r3.apk
2024-10-25 20:36
19K
shellinabox-openrc-2.21-r3.apk
2024-10-25 20:36
3.5K
shine-3.1.1-r0.apk
2024-10-25 20:36
56K
shipments-0.3.0-r0.apk
2024-10-25 20:36
24K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 20:36
1.8K
sigma-0.23.1-r1.apk
2024-10-25 20:36
237K
sigma-pyc-0.23.1-r1.apk
2024-10-25 20:36
340K
sigrok-cli-0.7.2-r0.apk
2024-10-25 20:36
40K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 20:36
8.0K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 20:36
13K
simh-3.11.1-r1.apk
2024-10-25 20:36
3.1M
sipgrep-2.2.0-r1.apk
2024-10-25 20:36
27K
sloccount-2.26-r3.apk
2024-10-25 20:36
61K
sloccount-doc-2.26-r3.apk
2024-10-25 20:36
59K
slurm-0.4.4-r0.apk
2024-10-25 20:36
14K
slurm-doc-0.4.4-r0.apk
2024-10-25 20:36
2.3K
snore-0.3.1-r0.apk
2024-10-25 20:36
4.4K
snore-doc-0.3.1-r0.apk
2024-10-25 20:36
3.1K
so-0.4.10-r0.apk
2024-10-25 20:36
2.3M
somebar-1.0.3-r0.apk
2024-10-25 20:36
50K
somebar-doc-1.0.3-r0.apk
2024-10-25 20:36
2.4K
sopwith-2.5.0-r0.apk
2024-10-25 20:36
55K
sopwith-doc-2.5.0-r0.apk
2024-10-25 20:36
15K
spark-2.8.3-r1.apk
2024-10-25 20:36
29M
speedcrunch-0.12-r3.apk
2024-10-25 20:36
1.1M
speedtest-5.2.5-r1.apk
2024-10-25 20:36
253K
speedtest-doc-5.2.5-r1.apk
2024-10-25 20:36
18K
speedtest-examples-5.2.5-r1.apk
2024-10-25 20:36
13K
spice-html5-0.3.0-r1.apk
2024-10-25 20:36
438K
spike-1.1.0-r0.apk
2024-10-25 20:36
1.6M
spread-sheet-widget-0.10-r0.apk
2024-10-25 20:36
48K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 20:36
195K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 20:36
372K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 20:36
4.6K
sqlar-0_git20180107-r1.apk
2024-10-25 20:36
12K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 20:36
3.3K
sqliteodbc-0.99991-r0.apk
2024-10-25 20:36
93K
sqm-scripts-1.6.0-r0.apk
2024-10-25 20:36
20K
sregex-0.0.1-r1.apk
2024-10-25 20:36
22K
sregex-dev-0.0.1-r1.apk
2024-10-25 20:36
26K
ssdfs-tools-4.09-r0.apk
2024-10-25 20:36
97K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 20:36
18K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 20:36
9.3K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 20:36
2.1K
ssh-tools-1.8-r0.apk
2024-10-25 20:36
26K
sshuttle-1.1.2-r0.apk
2024-10-25 20:36
62K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 20:36
8.5K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 20:36
101K
ssss-0.5.7-r0.apk
2024-10-25 20:36
12K
ssss-doc-0.5.7-r0.apk
2024-10-25 20:36
3.3K
starfighter-2.4-r0.apk
2024-10-25 20:36
48M
starfighter-doc-2.4-r0.apk
2024-10-25 20:36
22K
startup-2.0.3-r5.apk
2024-10-25 20:36
442K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 20:36
33K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 20:36
32K
startup-dev-2.0.3-r5.apk
2024-10-25 20:36
5.8K
startup-doc-2.0.3-r5.apk
2024-10-25 20:36
48K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 20:36
5.4K
startup-lang-2.0.3-r5.apk
2024-10-25 20:36
17K
startup-tools-2.0.3-r5.apk
2024-10-25 20:36
13K
steghide-0.5.1.1-r0.apk
2024-10-25 20:36
155K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 20:36
14K
sthttpd-2.27.1-r2.apk
2024-10-25 20:36
60K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 20:36
18K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 20:36
2.0K
stw-0.3-r0.apk
2024-10-25 20:36
8.2K
stw-doc-0.3-r0.apk
2024-10-25 20:36
2.5K
supermin-5.2.2-r2.apk
2024-10-25 20:36
601K
supermin-doc-5.2.2-r2.apk
2024-10-25 20:36
9.4K
surf-2.1-r3.apk
2024-10-25 20:36
24K
surf-doc-2.1-r3.apk
2024-10-25 20:36
4.6K
surfraw-2.3.0-r0.apk
2024-10-25 20:36
79K
surfraw-doc-2.3.0-r0.apk
2024-10-25 20:36
18K
swaks-20240103.0-r0.apk
2024-10-25 20:36
66K
swaks-doc-20240103.0-r0.apk
2024-10-25 20:36
50K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 20:36
10K
sxcs-1.1.0-r0.apk
2024-10-25 20:36
8.9K
sxcs-doc-1.1.0-r0.apk
2024-10-25 20:36
2.6K
symengine-0.12.0-r0.apk
2024-10-25 20:36
2.8M
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 20:36
440K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 20:36
2.2K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 20:36
221K
t2sz-1.1.2-r0.apk
2024-10-25 20:36
8.9K
tcl-curl-7.22.0-r0.apk
2024-10-25 20:36
33K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 20:36
38K
tcmu-runner-1.6.0-r6.apk
2024-10-25 20:36
86K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 20:36
2.5K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 20:36
13K
tdrop-0.5.0-r0.apk
2024-10-25 20:36
12K
tdrop-doc-0.5.0-r0.apk
2024-10-25 20:36
8.9K
teapot-tools-0.4.2-r2.apk
2024-10-25 20:36
2.3M
termbox-1.1.2-r1.apk
2024-10-25 20:36
13K
termbox-dev-1.1.2-r1.apk
2024-10-25 20:36
5.7K
termbox-static-1.1.2-r1.apk
2024-10-25 20:36
13K
termcolor-2.1.0-r0.apk
2024-10-25 20:36
1.5K
termcolor-dev-2.1.0-r0.apk
2024-10-25 20:36
6.8K
terminalpp-0.8.4-r0.apk
2024-10-25 20:36
402K
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 20:36
58K
thefuck-3.32-r5.apk
2024-10-25 20:36
83K
thefuck-pyc-3.32-r5.apk
2024-10-25 20:36
156K
thelounge-4.4.3-r0.apk
2024-10-25 20:36
28M
thelounge-doc-4.4.3-r0.apk
2024-10-25 20:36
2.3K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 20:36
2.0K
theme.sh-1.1.5-r0.apk
2024-10-25 20:36
39K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 20:36
2.3K
thumbdrives-0.3.2-r2.apk
2024-10-25 20:36
11K
thunarx-python-0.5.2-r2.apk
2024-10-25 20:36
10K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 20:36
25K
timew-1.4.3-r1.apk
2024-10-25 20:36
260K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 20:36
2.8K
timew-doc-1.4.3-r1.apk
2024-10-25 20:36
53K
timewarrior-1.7.1-r0.apk
2024-10-25 20:36
274K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 20:36
22K
tinyscheme-1.42-r1.apk
2024-10-25 20:36
59K
tmate-2.4.0-r4.apk
2024-10-25 20:36
260K
tmate-doc-2.4.0-r4.apk
2024-10-25 20:36
72K
tmpmail-1.2.3-r2.apk
2024-10-25 20:36
7.0K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 20:36
3.2K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 20:36
14K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 20:36
8.4K
tncattach-0.1.9-r1.apk
2024-10-25 20:36
24K
tncattach-doc-0.1.9-r1.apk
2024-10-25 20:36
3.9K
tnef-1.4.18-r0.apk
2024-10-25 20:36
26K
tnef-doc-1.4.18-r0.apk
2024-10-25 20:36
4.2K
toapk-1.0-r0.apk
2024-10-25 20:36
11K
topgit-0.19.13-r1.apk
2024-10-25 20:36
127K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 20:36
4.0K
topgit-doc-0.19.13-r1.apk
2024-10-25 20:36
73K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 20:36
369K
tpp-bypass-0.8.4-r0.apk
2024-10-25 20:36
13K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 20:36
151K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 20:36
4.2K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 20:36
106K
trantor-1.5.18-r0.apk
2024-10-25 20:36
245K
trantor-dev-1.5.18-r0.apk
2024-10-25 20:36
34K
trantor-doc-1.5.18-r0.apk
2024-10-25 20:36
2.6K
tre-0.8.0-r2.apk
2024-10-25 20:36
28K
tre-dev-0.8.0-r2.apk
2024-10-25 20:36
5.2K
tre-static-0.8.0-r2.apk
2024-10-25 20:36
30K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 20:36
77K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 20:36
2.3K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 20:36
15K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 20:36
87K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 20:36
2.3K
ttfautohint-1.8.4-r0.apk
2024-10-25 20:36
30K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 20:36
161K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 20:36
8.1K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 20:36
61K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 20:36
111K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 20:36
8.9K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 20:36
3.1K
turnstile-0.1.10-r3.apk
2024-10-25 20:36
39K
turnstile-doc-0.1.10-r3.apk
2024-10-25 20:36
5.7K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 20:36
1.8K
twemproxy-0.5.0-r0.apk
2024-10-25 20:36
68K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 20:36
17K
u1db-qt-0.1.8-r0.apk
2024-10-25 20:36
98K
uasm-2.56.2-r0.apk
2024-10-25 20:36
305K
ubase-20200605-r3.apk
2024-10-25 20:36
45K
ubase-doc-20200605-r3.apk
2024-10-25 20:36
21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 20:36
16K
Ubuntu Linux
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 20:36
2.3K
Ubuntu Linux
udpt-3.1.2-r0.apk
2024-10-25 20:36
853K
udpt-openrc-3.1.2-r0.apk
2024-10-25 20:36
1.8K
uranium-5.2.2-r3.apk
2024-10-25 20:36
596K
urlwatch-2.28-r2.apk
2024-10-25 20:36
49K
urlwatch-doc-2.28-r2.apk
2024-10-25 20:36
33K
urlwatch-pyc-2.28-r2.apk
2024-10-25 20:36
101K
ustr-1.0.4-r1.apk
2024-10-25 20:36
62K
ustr-debug-1.0.4-r1.apk
2024-10-25 20:36
78K
ustr-dev-1.0.4-r1.apk
2024-10-25 20:36
91K
ustr-doc-1.0.4-r1.apk
2024-10-25 20:36
97K
ustr-static-1.0.4-r1.apk
2024-10-25 20:36
165K
uucp-1.07-r6.apk
2024-10-25 20:36
529K
uucp-doc-1.07-r6.apk
2024-10-25 20:36
118K
uxn-1.0-r0.apk
2024-10-25 20:36
47K
uxn-doc-1.0-r0.apk
2024-10-25 20:36
4.2K
vcsh-2.0.5-r0.apk
2024-10-25 20:36
8.8K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 20:36
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 20:36
27K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 20:36
2.9K
vcstool-0.3.0-r5.apk
2024-10-25 20:36
35K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 20:36
1.8K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 20:36
58K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 20:36
1.6K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 20:36
1.7K
vectoroids-1.1.0-r2.apk
2024-10-25 20:36
283K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 20:36
2.3K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 20:36
25K
vidcutter-6.0.5.3-r0.apk
2024-10-25 20:36
2.8M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 20:36
24K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 20:36
1.9M
viewnior-1.8-r1.apk
2024-10-25 20:36
77K
viewnior-doc-1.8-r1.apk
2024-10-25 20:36
2.1K
viewnior-lang-1.8-r1.apk
2024-10-25 20:36
85K
vim-airline-0.11-r0.apk
2024-10-25 20:36
86K
vim-airline-doc-0.11-r0.apk
2024-10-25 20:36
12K
vit-2.3.2-r1.apk
2024-10-25 20:37
80K
vit-pyc-2.3.2-r1.apk
2024-10-25 20:37
151K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 20:37
372K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 20:37
3.1K
vmtouch-1.3.1-r0.apk
2024-10-25 20:37
12K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 20:37
8.0K
voikko-fi-2.5-r0.apk
2024-10-25 20:37
1.6M
volumeicon-0.5.1-r1.apk
2024-10-25 20:37
44K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 20:37
3.8K
vym-2.9.26-r0.apk
2024-10-25 20:37
2.8M
vym-doc-2.9.26-r0.apk
2024-10-25 20:37
3.4M
wakeonlan-0.42-r0.apk
2024-10-25 20:37
4.5K
wakeonlan-doc-0.42-r0.apk
2024-10-25 20:37
7.5K
walk-sor-0_git20190920-r1.apk
2024-10-25 20:37
5.4K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 20:37
7.8K
watchbind-0.2.1-r1.apk
2024-10-25 20:37
1.3M
watchbind-doc-0.2.1-r1.apk
2024-10-25 20:37
6.6K
watchdog-5.16-r2.apk
2024-10-25 20:37
44K
watchdog-doc-5.16-r2.apk
2024-10-25 20:37
14K
wch-isp-0.4.1-r2.apk
2024-10-25 20:37
11K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 20:37
2.7K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 20:37
1.6K
whipper-0.10.0-r5.apk
2024-10-25 20:37
113K
whipper-pyc-0.10.0-r5.apk
2024-10-25 20:37
185K
wiremapper-0.10.0-r0.apk
2024-10-25 20:37
22K
witchery-0.0.3-r2.apk
2024-10-25 20:37
3.2K
wl-clipboard-x11-5-r3.apk
2024-10-25 20:37
3.4K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 20:37
2.9K
wlclock-1.0.1-r0.apk
2024-10-25 20:37
16K
wlclock-doc-1.0.1-r0.apk
2024-10-25 20:37
3.3K
wmctrl-1.07-r1.apk
2024-10-25 20:37
14K
wmctrl-doc-1.07-r1.apk
2024-10-25 20:37
5.1K
wmi-client-1.3.16-r5.apk
2024-10-25 20:37
2.5M
wol-0.7.1-r3.apk
2024-10-25 20:37
27K
wol-doc-0.7.1-r3.apk
2024-10-25 20:37
5.5K
wol-lang-0.7.1-r3.apk
2024-10-25 20:37
8.2K
wpa_actiond-1.4-r7.apk
2024-10-25 20:37
10K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 20:37
2.2K
wput-0.6.2-r4.apk
2024-10-25 20:37
40K
wput-doc-0.6.2-r4.apk
2024-10-25 20:37
8.2K
wshowkeys-1.0-r0.apk
2024-10-25 20:37
15K
x11docker-7.6.0-r1.apk
2024-10-25 20:37
113K
x11docker-doc-7.6.0-r1.apk
2024-10-25 20:37
9.4K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 20:37
70K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 20:37
38K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 20:37
5.1K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 20:37
92K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 20:37
2.5K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 20:37
59K
xfd-1.1.4-r0.apk
2024-10-25 20:37
14K
xfd-doc-1.1.4-r0.apk
2024-10-25 20:37
4.9K
xgalaga-2.1.1.0-r1.apk
2024-10-25 20:37
341K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 20:37
2.5K
xlhtml-0.5.1-r0.apk
2024-10-25 20:37
12K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 20:37
2.5K
xload-1.1.4-r0.apk
2024-10-25 20:37
7.0K
xload-doc-1.1.4-r0.apk
2024-10-25 20:37
3.2K
xmag-1.0.8-r0.apk
2024-10-25 20:37
18K
xmag-doc-1.0.8-r0.apk
2024-10-25 20:37
4.7K
xmp-4.2.0-r0.apk
2024-10-25 20:37
24K
xmp-doc-4.2.0-r0.apk
2024-10-25 20:37
5.3K
xmppipe-0.16.0-r1.apk
2024-10-25 20:37
17K
xosview-1.24-r0.apk
2024-10-25 20:37
128K
xosview-doc-1.24-r0.apk
2024-10-25 20:37
13K
xsane-0.999-r2.apk
2024-10-25 20:37
1.5M
xsane-doc-0.999-r2.apk
2024-10-25 20:37
4.3K
xsane-lang-0.999-r2.apk
2024-10-25 20:37
440K
xsecurelock-1.9.0-r1.apk
2024-10-25 20:37
67K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 20:37
18K
xsoldier-1.8-r2.apk
2024-10-25 20:37
73K
xsoldier-doc-1.8-r2.apk
2024-10-25 20:37
2.6K
xva-img-1.5-r0.apk
2024-10-25 20:37
17K
xvidtune-1.0.4-r0.apk
2024-10-25 20:37
17K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 20:37
4.2K
yass-2.5.0-r0.apk
2024-10-25 20:37
14M
ydcv-0.7-r8.apk
2024-10-25 20:37
20K
ydcv-pyc-0.7-r8.apk
2024-10-25 20:37
11K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 20:37
2.2K
z-1.12-r0.apk
2024-10-25 20:37
4.6K
z-doc-1.12-r0.apk
2024-10-25 20:37
3.9K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 20:37
19M
zarchive-0.1.2-r2.apk
2024-10-25 20:37
16K
zarchive-dev-0.1.2-r2.apk
2024-10-25 20:37
6.8K
zarchive-libs-0.1.2-r2.apk
2024-10-25 20:37
26K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 20:37
128K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 20:37
16K
zile-2.6.2-r1.apk
2024-10-25 20:37
126K
zile-doc-2.6.2-r1.apk
2024-10-25 20:37
16K
zita-njbridge-0.4.8-r1.apk
2024-10-25 20:37
30K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 20:37
5.2K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 20:37
2.9K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
55K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
14K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
elementary-music-8.0.0-r0.apk
2024-10-28 22:06
76K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:06
47K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 05:59
7.9K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 05:59
9.5K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:45
15K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:45
2.5K
ifuse-1.1.4-r5.apk
2024-10-30 22:45
10K
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:45
2.3K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:45
17K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:45
3.3K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:45
2.2K
py3-sh-2.1.0-r0.apk
2024-11-01 19:39
38K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 19:39
55K
flawz-0.3.0-r0.apk
2024-11-03 21:06
1.3M
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:06
2.1K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:06
6.0K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:06
1.9K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:06
2.2K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
40K
e16-1.0.30-r0.apk
2024-11-05 13:22
808K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
380K
plots-0.7.0-r1.apk
2024-11-06 09:42
516K
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:42
1.3M
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:42
52K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:42
68K
neofetch-7.1.0-r2.apk
2024-11-06 21:47
86K
neofetch-doc-7.1.0-r2.apk
2024-11-06 21:47
6.2K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:08
83K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:47
233K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:46
23K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:46
40K
crun-vm-0.3.0-r0.apk
2024-11-12 11:44
1.3M
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:44
13K
contractor-0.3.5-r0.apk
2024-11-12 21:54
28K
appcenter-8.0.0-r0.apk
2024-11-12 21:55
411K
appcenter-lang-8.0.0-r0.apk
2024-11-12 21:55
258K
py3-pltable-1.1.0-r1.apk
2024-11-13 06:31
19K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:31
33K
py3-minidb-2.0.8-r0.apk
2024-11-13 18:50
10K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 18:50
23K
py3-mss-10.0.0-r0.apk
2024-11-14 12:57
51K
perl-test-utf8-1.03-r0.apk
2024-11-16 15:08
5.6K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 15:08
4.9K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 04:06
48K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 04:06
121K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 12:51
14K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 12:51
7.0K
linuxptp-4.4-r0.apk
2024-11-17 17:17
1.2K
linuxptp-doc-4.4-r0.apk
2024-11-17 17:17
38K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 17:17
4.4K
linuxptp-nsm-4.4-r0.apk
2024-11-17 17:17
33K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 17:17
37K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 17:17
9.9K
linuxptp-pmc-4.4-r0.apk
2024-11-17 17:17
36K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 17:17
78K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 17:17
17K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 17:17
37K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 17:17
20K
randrctl-1.10.0-r0.apk
2024-11-17 21:22
28K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 21:22
31K
gufw-24.04-r3.apk
2024-11-19 22:01
596K
gufw-doc-24.04-r3.apk
2024-11-19 22:01
4.5K
gufw-lang-24.04-r3.apk
2024-11-19 22:01
855K
gufw-pyc-24.04-r3.apk
2024-11-19 22:01
65K
smassh-3.1.6-r0.apk
2024-11-21 02:57
72K
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:57
70K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:31
95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:31
11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:31
12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:31
9.7K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:31
312K
paprefs-1.2-r2.apk
2024-11-22 23:40
31K
paprefs-lang-1.2-r2.apk
2024-11-22 23:40
38K
predict-2.3.1-r0.apk
2024-11-23 18:56
99K
predict-doc-2.3.1-r0.apk
2024-11-23 18:56
16K
qstardict-2.0.2-r1.apk
2024-11-24 03:04
460K
qstardict-doc-2.0.2-r1.apk
2024-11-24 03:04
11K
highctidh-1.0.2024092800-r0.apk
2024-11-25 19:52
380K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 19:52
413K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 19:52
390K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 19:52
12K
atac-0.18.1-r0.apk
2024-11-25 21:57
5.3M
py3-livestream-2.1.0-r0.apk
2024-11-25 22:22
766K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:22
30K
git-graph-0.6.0-r0.apk
2024-11-25 23:38
1.0M
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:38
6.2K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:02
9.3K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:02
4.2K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
13K
py3-protego-0.3.1-r0.apk
2024-11-30 20:42
9.3K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:42
12K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 20:52
16K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 20:52
17K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.5K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:24
12K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:24
11K
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:14
8.7K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:14
10K
openfire-4.8.1-r1.apk
2024-12-03 14:37
46M
openfire-doc-4.8.1-r1.apk
2024-12-03 14:37
3.8M
openfire-openrc-4.8.1-r1.apk
2024-12-03 14:37
1.9K
openfire-plugins-4.8.1-r1.apk
2024-12-03 14:37
72K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 17:17
10K
drupal7-7.103-r0.apk
2024-12-04 17:28
3.3M
drupal7-doc-7.103-r0.apk
2024-12-04 17:28
57K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 22:58
11K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 22:58
14K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:05
26K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:05
41K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:29
13K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:29
23K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
13K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.9K
endeavour-43.0-r2.apk
2024-12-08 21:41
199K
endeavour-dev-43.0-r2.apk
2024-12-08 21:41
46K
endeavour-doc-43.0-r2.apk
2024-12-08 21:41
68K
endeavour-lang-43.0-r2.apk
2024-12-08 21:41
203K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:41
715K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:41
497K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:41
229K
planner-0.14.92-r1.apk
2024-12-08 21:41
361K
planner-doc-0.14.92-r1.apk
2024-12-08 21:41
2.2K
planner-lang-0.14.92-r1.apk
2024-12-08 21:41
825K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:41
30K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:41
50K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:02
22K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
openfortivpn-1.22.1-r0.apk
2024-12-11 21:41
42K
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 21:41
6.3K
py3-eventlet-0.38.1-r0.apk
2024-12-11 21:41
332K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 21:41
336K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 06:32
18K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 06:32
6.2K
libantlr4-4.13.2-r0.apk
2024-12-12 11:08
482K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:08
927K
code-minimap-0.6.7-r0.apk
2024-12-12 19:46
422K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:46
8.0K
py3-janus-1.2.0-r0.apk
2024-12-13 04:57
12K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:57
13K
jwt-cli-6.2.0-r0.apk
2024-12-14 18:18
1.0M
knxd-0.14.61-r1.apk
2024-12-14 19:04
425K
knxd-dev-0.14.61-r1.apk
2024-12-14 19:04
24K
libsemigroups-2.7.3-r1.apk
2024-12-14 19:04
849K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 19:04
335K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 19:04
1.7M
pebble-le-0.3.0-r2.apk
2024-12-14 19:04
67K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 19:04
41K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 19:04
3.7K
restinio-0.6.19-r1.apk
2024-12-14 19:04
1.2K
restinio-dev-0.6.19-r1.apk
2024-12-14 19:04
268K
azote-1.14.0-r0.apk
2024-12-14 20:38
7.6M
azote-pyc-1.14.0-r0.apk
2024-12-14 20:38
98K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:50
6.7K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:50
8.1K
throttled-0.10.0-r1.apk
2024-12-15 19:23
15K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:23
1.6K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:23
28K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
28K
swi-prolog-9.2.9-r0.apk
2024-12-21 10:08
5.1M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:08
2.1M
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:08
22K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:08
922K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:08
1.0M
amber-mpris-1.2.9-r0.apk
2024-12-22 16:47
225K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 16:47
6.7K
py3-limits-3.14.1-r0.apk
2024-12-25 18:44
33K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 18:44
71K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 22:09
36K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 22:09
68K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 14:02
2.5K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 14:02
3.1K
perl-cli-osprey-0.08-r0.apk
2024-12-28 04:54
13K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 04:54
12K
perl-dbix-lite-0.36-r0.apk
2024-12-30 06:37
18K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 06:37
18K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 01:11
49K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 09:40
15K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 09:40
11K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 22:12
28K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 22:12
34K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 10:09
38K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 21:55
167K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 21:55
38K
twinkle-1.10.3-r3.apk
2025-01-04 21:55
2.4M
twinkle-doc-1.10.3-r3.apk
2025-01-04 21:55
3.5K
gkrellm-2.3.11-r0.apk
2025-01-08 22:36
448K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 22:36
17K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 22:36
19K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 22:36
379K
gkrellm-server-2.3.11-r0.apk
2025-01-08 22:36
53K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 15:53
302K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 10:22
100K
libqofono-0.124-r0.apk
2025-01-10 12:02
1.2K
libqofono-dev-0.124-r0.apk
2025-01-10 12:02
42K
libqofono-qt5-0.124-r0.apk
2025-01-10 12:02
298K
libqofono-qt6-0.124-r0.apk
2025-01-10 12:02
449K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 12:29
68K
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 12:29
149K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 12:29
2.5M
monopd-0.10.4-r0.apk
2025-01-11 11:11
89K
monopd-openrc-0.10.4-r0.apk
2025-01-11 11:11
1.7K
typstyle-0.12.14-r0.apk
2025-01-12 13:44
610K
elementary-theme-8.1.0-r0.apk
2025-01-12 21:27
1.5M
zutty-0.16-r0.apk
2025-01-12 21:27
166K
zutty-doc-0.16-r0.apk
2025-01-12 21:27
67K
libxo-1.7.5-r0.apk
2025-01-12 22:45
182K
libxo-dev-1.7.5-r0.apk
2025-01-12 22:45
77K
libxo-doc-1.7.5-r0.apk
2025-01-12 22:45
63K
diceware-1.0.1-r0.apk
2025-01-13 22:50
334K
diceware-pyc-1.0.1-r0.apk
2025-01-13 22:50
18K
aoetools-37-r2.apk
2025-01-14 13:34
22K
aoetools-doc-37-r2.apk
2025-01-14 13:34
14K
highfive-2.10.1-r0.apk
2025-01-15 02:47
75K
py3-spnego-0.11.2-r0.apk
2025-01-16 07:52
118K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 07:52
219K
perl-libintl-perl-1.35-r0.apk
2025-01-16 13:35
305K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 13:35
571K
perl-dbix-class-0.082844-r0.apk
2025-01-16 17:26
355K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 17:26
421K
nuzzle-1.6-r0.apk
2025-01-17 14:15
12K
nuzzle-doc-1.6-r0.apk
2025-01-17 14:15
3.2K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 11:58
40K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 11:58
49K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 11:58
43K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 11:58
67K
trace-cmd-3.3.1-r1.apk
2025-01-19 11:58
172K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 11:58
3.4K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 11:58
508K
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 11:58
171K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 17:09
27K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 17:09
47K
clevis-21-r0.apk
2025-01-20 04:17
54K
clevis-bash-completion-21-r0.apk
2025-01-20 04:17
2.0K
clevis-dbg-21-r0.apk
2025-01-20 04:17
60K
clevis-doc-21-r0.apk
2025-01-20 04:17
23K
tang-15-r0.apk
2025-01-20 04:17
17K
tang-dbg-15-r0.apk
2025-01-20 04:17
31K
tang-doc-15-r0.apk
2025-01-20 04:17
21K
tang-openrc-15-r0.apk
2025-01-20 04:17
1.9K
kodaskanna-0.2.2-r0.apk
2025-01-20 08:16
56K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 08:16
23K
py3-cdio-2.1.1-r6.apk
2025-01-20 20:42
106K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 20:42
43K
vcdimager-2.0.1-r5.apk
2025-01-22 10:33
485K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 10:33
121K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 10:33
74K
py3-milc-1.9.1-r0.apk
2025-01-25 16:04
26K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 16:04
41K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 19:32
42K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 19:32
2.9K
opendht-3.1.11-r0.apk
2025-01-27 20:56
191K
opendht-dev-3.1.11-r0.apk
2025-01-27 20:56
71K
opendht-doc-3.1.11-r0.apk
2025-01-27 20:56
3.0K
opendht-libs-3.1.11-r0.apk
2025-01-27 20:56
602K
py3-opendht-3.1.11-r0.apk
2025-01-27 20:56
160K
fastd-23-r0.apk
2025-01-27 21:51
76K
fastd-doc-23-r0.apk
2025-01-27 21:51
3.3K
fastd-openrc-23-r0.apk
2025-01-27 21:51
1.7K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 21:52
12K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 21:52
4.6K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 06:49
22K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 06:49
12K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 18:50
144K
qpdfview-0.5-r2.apk
2025-01-29 18:50
1.0M
qpdfview-doc-0.5-r2.apk
2025-01-29 18:50
4.2K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 16:17
39K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 16:17
5.3K
gtklock-4.0.0-r0.apk
2025-01-31 16:17
20K
gtklock-doc-4.0.0-r0.apk
2025-01-31 16:17
3.0K
rosenpass-0.2.2-r1.apk
2025-02-01 18:23
1.1M
ttyper-1.6.0-r0.apk
2025-02-05 21:45
686K
libnest2d-0.4-r7.apk
2025-02-06 04:36
1.2K
libnest2d-dev-0.4-r7.apk
2025-02-06 04:36
70K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 04:36
276K
binwalk-3.1.0-r0.apk
2025-02-07 15:46
1.0M
aptdec-1.8.0-r1.apk
2025-02-08 23:40
86K
aptdec-dev-1.8.0-r1.apk
2025-02-08 23:40
3.4K
aptdec-libs-1.8.0-r1.apk
2025-02-08 23:40
16K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 15:13
12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 15:13
7.1K
rsstail-2.2-r0.apk
2025-02-13 07:17
8.7K
rsstail-doc-2.2-r0.apk
2025-02-13 07:17
2.8K
libxml++-5.4.0-r0.apk
2025-02-13 07:47
68K
libxml++-dev-5.4.0-r0.apk
2025-02-13 07:47
29K
barrier-2.4.0-r2.apk
2025-02-14 15:28
1.0M
barrier-doc-2.4.0-r2.apk
2025-02-14 15:28
13K
click-0.5.2-r4.apk
2025-02-14 15:28
162K
click-dev-0.5.2-r4.apk
2025-02-14 15:28
9.1K
click-doc-0.5.2-r4.apk
2025-02-14 15:28
3.3K
click-pyc-0.5.2-r4.apk
2025-02-14 15:28
175K
dhewm3-1.5.4-r0.apk
2025-02-14 15:28
5.2M
flann-1.9.2-r1.apk
2025-02-14 15:28
1.8M
flann-dev-1.9.2-r1.apk
2025-02-14 15:28
1.1M
flann-doc-1.9.2-r1.apk
2025-02-14 15:28
2.5K
gmenuharness-0.1.4-r2.apk
2025-02-14 15:28
39K
gmenuharness-dev-0.1.4-r2.apk
2025-02-14 15:28
4.1K
gstreamermm-1.10.0-r6.apk
2025-02-14 15:28
521K
gstreamermm-dev-1.10.0-r6.apk
2025-02-14 15:28
310K
libqtdbusmock-0.9.1-r2.apk
2025-02-14 15:28
69K
litehtml-0.9-r2.apk
2025-02-14 15:28
342K
litehtml-dev-0.9-r2.apk
2025-02-14 15:28
42K
litehtml-static-0.9-r2.apk
2025-02-14 15:28
526K
py3-pymsteams-0.2.5-r0.apk
2025-02-14 15:28
12K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-14 15:28
6.5K
bochs-2.8-r1.apk
2025-02-20 18:32
1.0M
bochs-doc-2.8-r1.apk
2025-02-20 18:32
139K
sauerbraten-2020.12.29-r4.apk
2025-02-20 18:33
934M
solarus-quest-editor-1.7.0-r1.apk
2025-02-20 18:33
55M
py3-marshmallow-3.26.1-r0.apk
2025-02-21 22:39
48K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-21 22:39
85K
ueberzug-18.3.1-r0.apk
2025-02-22 11:09
66K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 11:09
64K
kirc-0.3.3-r0.apk
2025-02-22 11:09
15K
kirc-doc-0.3.3-r0.apk
2025-02-22 11:09
2.8K
azpainter-3.0.11-r0.apk
2025-02-22 11:10
856K
azpainter-doc-3.0.11-r0.apk
2025-02-22 11:10
42K
rdrview-0.1.3-r0.apk
2025-02-22 19:22
30K
rdrview-doc-0.1.3-r0.apk
2025-02-22 19:22
3.7K
porla-0.41.0-r2.apk
2025-02-24 14:34
3.5M
porla-doc-0.41.0-r2.apk
2025-02-24 14:34
2.2K
porla-openrc-0.41.0-r2.apk
2025-02-24 14:34
2.7K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
14K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
15K
xa-2.4.1-r0.apk
2025-02-25 12:36
82K
xa-doc-2.4.1-r0.apk
2025-02-25 12:36
17K
belcard-5.3.105-r0.apk
2025-02-25 12:48
11K
belcard-dev-5.3.105-r0.apk
2025-02-25 12:48
11K
belcard-libs-5.3.105-r0.apk
2025-02-25 12:48
218K
belle-sip-5.3.105-r0.apk
2025-02-25 12:52
735K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 12:52
54K
belr-5.3.105-r0.apk
2025-02-25 12:52
111K
belr-dev-5.3.105-r0.apk
2025-02-25 12:52
15K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 15:45
10K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 15:45
10K
wayfire-0.9.0-r0.apk
2025-02-27 20:28
2.6M
wayfire-dev-0.9.0-r0.apk
2025-02-27 20:28
129K
wayfire-doc-0.9.0-r0.apk
2025-02-27 20:28
3.6K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 20:28
598K
wcm-0.9.0-r0.apk
2025-02-27 20:28
381K
wf-config-0.9.0-r0.apk
2025-02-27 20:28
111K
wf-config-dev-0.9.0-r0.apk
2025-02-27 20:28
16K
wf-shell-0.9.0-r0.apk
2025-02-27 20:28
6.1M
wf-shell-dev-0.9.0-r0.apk
2025-02-27 20:28
1.6K
wf-shell-doc-0.9.0-r0.apk
2025-02-27 20:28
3.1K
yices2-2.6.5-r0.apk
2025-03-03 00:04
2.8M
yices2-dev-2.6.5-r0.apk
2025-03-03 00:04
41K
yices2-libs-2.6.5-r0.apk
2025-03-03 00:04
1.0M
libuecc-7-r4.apk
2025-03-03 16:06
9.8K
libuecc-dev-7-r4.apk
2025-03-03 16:06
4.6K
flightgear-2024.1.1-r0.apk
2025-03-05 00:19
12M
flightgear-bash-completion-2024.1.1-r0.apk
2025-03-05 00:19
5.5K
flightgear-dbg-2024.1.1-r0.apk
2025-03-05 00:19
21M
flightgear-doc-2024.1.1-r0.apk
2025-03-05 00:19
58K
flightgear-zsh-completion-2024.1.1-r0.apk
2025-03-05 00:19
7.3K
simgear-2024.1.1-r0.apk
2025-03-05 00:19
2.3M
simgear-dev-2024.1.1-r0.apk
2025-03-05 00:19
404K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-06 22:31
12K
ruff-lsp-0.0.62-r0.apk
2025-03-06 22:31
21K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-06 22:31
35K
cvise-2.11.0-r0.apk
2025-03-08 13:15
5.9M
cvise-pyc-2.11.0-r0.apk
2025-03-08 13:15
60K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-09 09:02
10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-09 09:02
16K
caja-gtkhash-plugin-1.5-r0.apk
2025-03-10 18:17
26K
gtkhash-1.5-r0.apk
2025-03-10 18:17
88K
gtkhash-lang-1.5-r0.apk
2025-03-10 18:17
46K
nemo-gtkhash-plugin-1.5-r0.apk
2025-03-10 18:17
24K
thunar-gtkhash-plugin-1.5-r0.apk
2025-03-10 18:17
24K
ampy-1.1.0-r6.apk
2025-03-19 13:11
15K
ampy-doc-1.1.0-r6.apk
2025-03-19 13:11
4.1K
ampy-pyc-1.1.0-r6.apk
2025-03-19 13:11
19K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-19 13:12
19K
emulationstation-2.11.2-r1.apk
2025-03-19 13:12
1.3M
emulationstation-theme-gbz35-2.11.2-r1.apk
2025-03-19 13:12
3.2M
jotdown-0.7.0-r0.apk
2025-03-19 13:12
270K
libzvbi-0.2.44-r0.apk
2025-03-19 13:13
240K
libzvbi-dev-0.2.44-r0.apk
2025-03-19 13:13
14K
libzvbi-static-0.2.44-r0.apk
2025-03-19 13:13
291K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-19 13:13
150K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-19 13:13
216K
perl-cgi-struct-1.21-r0.apk
2025-03-19 13:13
7.8K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-19 13:13
6.8K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-19 13:13
5.1K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-19 13:13
4.7K
perl-json-validator-5.15-r0.apk
2025-03-19 13:13
58K
perl-json-validator-doc-5.15-r0.apk
2025-03-19 13:13
34K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-19 13:13
28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-19 13:13
33K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-19 13:13
4.1K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-19 13:13
4.2K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-19 13:13
5.4K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-19 13:13
5.3K
perl-moosex-getopt-0.78-r0.apk
2025-03-19 13:13
14K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-19 13:13
25K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-19 13:13
8.6K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-19 13:13
22K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-19 13:13
7.7K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-19 13:13
24K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-19 13:13
3.8K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-19 13:13
4.2K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-19 13:13
4.0K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-19 13:13
4.1K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-19 13:13
3.0K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-19 13:13
3.6K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-19 13:13
3.6K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-19 13:13
4.0K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-19 13:13
2.8K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-19 13:13
3.1K
perl-text-simpletable-2.07-r0.apk
2025-03-19 13:13
4.5K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-19 13:13
3.5K
perl-tie-toobject-0.03-r0.apk
2025-03-19 13:13
2.6K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-19 13:13
3.2K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-19 13:13
20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-19 13:13
46K
perl-uri-ws-0.03-r0.apk
2025-03-19 13:13
2.3K
perl-uri-ws-doc-0.03-r0.apk
2025-03-19 13:13
4.3K
py3-agithub-2.2.2-r7.apk
2025-03-19 13:13
19K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-19 13:13
21K
py3-mistletoe-1.4.0-r0.apk
2025-03-19 13:13
45K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-19 13:13
93K
sentrypeer-4.0.4-r0.apk
2025-03-19 13:13
1.8M
sentrypeer-doc-4.0.4-r0.apk
2025-03-19 13:13
3.3K
simp1e-cursors-0_git20250312-r0.apk
2025-03-19 13:13
447K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-19 13:13
478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-19 13:13
482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
485K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-19 13:13
483K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-19 13:13
504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-19 13:13
520K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-19 13:13
509K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-19 13:13
535K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-19 13:13
547K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-19 13:13
528K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-19 13:13
537K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-19 13:13
533K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-19 13:13
544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-19 13:13
530K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-19 13:13
540K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-19 13:13
484K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
490K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-19 13:13
14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-19 13:13
525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-19 13:13
517K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-19 13:13
527K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-19 13:13
449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-19 13:13
487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
492K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-19 13:13
450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-19 13:13
451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-19 13:13
536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
549K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-19 13:13
518K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-19 13:13
525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-19 13:13
533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-19 13:13
538K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-19 13:13
551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-19 13:13
545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-19 13:13
538K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-19 13:13
550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-19 13:13
524K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-19 13:13
535K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-19 13:13
531K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-19 13:13
542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-19 13:13
530K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-19 13:13
541K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-19 13:13
520K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-19 13:13
527K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-19 13:13
534K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-19 13:13
546K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-19 13:13
529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-19 13:13
540K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-19 13:13
108K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-19 13:13
5.2K
yoshimi-2.3.3.3-r0.apk
2025-03-19 13:14
6.1M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-19 13:14
4.5M
zvbi-0.2.44-r0.apk
2025-03-19 13:14
191K
zvbi-doc-0.2.44-r0.apk
2025-03-19 13:14
21K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-19 14:53
3.8K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-19 14:53
4.0K
perl-data-visitor-0.32-r0.apk
2025-03-19 14:53
9.8K
perl-data-visitor-doc-0.32-r0.apk
2025-03-19 14:53
8.3K
cataclysm-dda-0h-r0.apk
2025-03-20 00:48
19M
cataclysm-dda-curses-0h-r0.apk
2025-03-20 00:48
12M
cataclysm-dda-doc-0h-r0.apk
2025-03-20 00:48
4.6K
cataclysm-dda-lang-0h-r0.apk
2025-03-20 00:48
38M
cataclysm-dda-tiles-0h-r0.apk
2025-03-20 00:48
49M
perl-catalyst-devel-1.42-r0.apk
2025-03-20 16:50
54K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-20 16:50
11K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-20 16:50
5.4K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-20 16:50
10K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-20 16:50
8.7K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-20 16:50
7.4K
perl-file-changenotify-0.31-r0.apk
2025-03-20 16:50
12K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-20 16:50
14K
tanidvr-1.4.1-r2.apk
2025-03-21 11:52
23K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 11:52
11K
perl-uri-find-20160806-r0.apk
2025-03-23 07:58
14K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 07:58
9.1K
efl-1.28.1-r2.apk
2025-03-23 15:03
34M
efl-dev-1.28.1-r2.apk
2025-03-23 15:04
1.8M
efl-gdb-1.28.1-r2.apk
2025-03-23 15:04
1.7K
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 07:55
13M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 07:55
1.3M
trigger-rally-0.6.7-r3.apk
2025-03-25 15:17
302K
trigger-rally-data-0.6.7-r3.apk
2025-03-25 15:17
352M
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 15:17
28K
leptosfmt-0.1.33-r0.apk
2025-03-25 15:22
1.2M
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 15:22
6.0K
cherrytree-1.4.0-r0.apk
2025-03-26 17:41
2.8M
cherrytree-doc-1.4.0-r0.apk
2025-03-26 17:41
2.1K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 17:41
859K
gpscorrelate-2.3-r0.apk
2025-03-27 06:36
50K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 06:36
26K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 06:36
285K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 06:36
17K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 11:06
194K
kotlin-language-server-1.3.13-r0.apk
2025-03-28 16:12
83M
perl-string-escape-2010.002-r0.apk
2025-03-28 16:13
8.9K
perl-string-escape-doc-2010.002-r0.apk
2025-03-28 16:13
7.8K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-03-29 08:34
32K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-03-29 08:34
56K
perl-catalyst-action-rest-1.21-r0.apk
2025-03-31 14:53
25K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-03-31 14:53
27K
identme-0.6.0-r0.apk
2025-04-03 12:33
48K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 05:22
2.7K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 05:22
375K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 05:38
8.6K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 05:38
6.8K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 05:39
5.0K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 05:39
4.4K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 08:55
4.1K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 08:55
13K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 08:55
9.1K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 08:55
11K
perl-email-sender-2.601-r0.apk
2025-04-04 08:55
25K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 08:55
42K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 11:57
14K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 11:57
25K
perl-object-signature-1.08-r0.apk
2025-04-04 11:57
3.7K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 11:57
5.4K
wabt-1.0.37-r0.apk
2025-04-06 21:38
4.5M
wabt-doc-1.0.37-r0.apk
2025-04-06 21:38
13K
gambit-4.9.5-r1.apk
2025-04-07 07:46
12M
gambit-dev-4.9.5-r1.apk
2025-04-07 07:46
6.9M
gambit-doc-4.9.5-r1.apk
2025-04-07 07:46
4.3K
welle-cli-2.7-r0.apk
2025-04-07 18:04
313K
welle-io-2.7-r0.apk
2025-04-07 18:04
418K
welle-io-doc-2.7-r0.apk
2025-04-07 18:04
4.0K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 07:44
10K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 07:44
6.6K
php82-snappy-0.2.3-r0.apk
2025-04-09 18:19
5.3K
php84-snappy-0.2.3-r0.apk
2025-04-09 18:19
5.3K
decoder-0.7.0-r0.apk
2025-04-10 13:31
2.2M
decoder-lang-0.7.0-r0.apk
2025-04-10 13:31
59K
capnet-assist-8.0.0-r0.apk
2025-04-15 01:16
45K
capnet-assist-lang-8.0.0-r0.apk
2025-04-15 01:16
37K
cpplint-2.0.2-r0.apk
2025-04-15 01:16
80K
cpplint-pyc-2.0.2-r0.apk
2025-04-15 01:16
99K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-15 01:16
509K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-15 01:16
2.3K
font-aref-ruqaa-1.006-r0.apk
2025-04-15 01:16
357K
fuseiso-20070708-r0.apk
2025-04-15 01:16
16K
fuseiso-doc-20070708-r0.apk
2025-04-15 01:16
2.6K
libresprite-1.2-r0.apk
2025-04-15 01:17
15M
libresprite-doc-1.2-r0.apk
2025-04-15 01:17
15K
nfoview-2.1-r0.apk
2025-04-15 01:17
39K
nfoview-doc-2.1-r0.apk
2025-04-15 01:17
8.0K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-15 01:17
9.0K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-15 01:17
7.8K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-15 01:17
6.5K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-15 01:17
12K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-15 01:17
14K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-15 01:17
13K
perl-template-timer-1.00-r0.apk
2025-04-15 01:17
3.4K
perl-template-timer-doc-1.00-r0.apk
2025-04-15 01:17
3.7K
perl-test-www-mechanize-1.60-r0.apk
2025-04-15 01:17
15K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-15 01:17
10K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-15 01:17
16K
py3-doi-0.2-r0.apk
2025-04-15 01:17
6.2K
py3-doi-pyc-0.2-r0.apk
2025-04-15 01:17
4.7K
py3-ecbdata-0.1.1-r0.apk
2025-04-15 01:17
13K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-15 01:17
259K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-15 01:17
2.0K
usbtop-1.0-r0.apk
2025-04-15 01:17
14K
zita-resampler-1.11.2-r0.apk
2025-04-15 02:21
20K
zita-resampler-dev-1.11.2-r0.apk
2025-04-15 02:21
3.3K
zita-resampler-doc-1.11.2-r0.apk
2025-04-15 02:21
4.0K
yarn-berry-4.9.1-r0.apk
2025-04-15 22:08
1.0M
py3-pysonic-1.0.3-r0.apk
2025-04-16 22:36
35K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-16 22:36
32K
py3-plotly-5.24.1-r2.apk
2025-04-18 22:11
20M
perl-test-file-1.995-r0.apk
2025-04-19 17:53
11K
perl-test-file-doc-1.995-r0.apk
2025-04-19 17:53
6.8K
perl-expect-1.38-r0.apk
2025-04-19 19:01
32K
perl-expect-doc-1.38-r0.apk
2025-04-19 19:01
20K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 04:32
4.9K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 04:32
4.9K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 04:32
7.4K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 04:32
6.2K
perl-expect-simple-0.04-r0.apk
2025-04-20 04:38
5.5K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 04:38
4.9K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 17:33
6.0K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 17:33
5.5K
perl-test-expect-0.34-r0.apk
2025-04-21 17:35
3.5K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 17:35
3.6K
symlinks-1.4.3-r0.apk
2025-04-21 21:27
6.1K
symlinks-doc-1.4.3-r0.apk
2025-04-21 21:27
3.9K
py3-remind-0.19.2-r0.apk
2025-04-22 17:45
24K
py3-remind-pyc-0.19.2-r0.apk
2025-04-22 17:45
23K
emacs-ement-0.16-r0.apk
2025-04-23 05:10
291K
sshs-4.7.2-r0.apk
2025-04-23 23:13
784K
typobuster-1.0.0-r0.apk
2025-04-23 23:13
129K
perl-devel-repl-1.003029-r0.apk
2025-04-24 14:50
28K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 14:50
60K
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 05:14
50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 05:14
89K
perl-lexical-persistence-1.023-r0.apk
2025-04-26 08:13
7.5K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 08:13
7.6K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 10:21
3.7K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 10:21
3.4K
perl-carp-repl-0.18-r0.apk
2025-04-26 17:12
6.0K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 17:12
5.9K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 15:07
3.3K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 15:07
3.6K
libstirshaken-0_git20240208-r4.apk
2025-04-28 19:56
55K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 19:56
79K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 19:56
167K
jedi-language-server-0.45.1-r0.apk
2025-04-28 22:57
32K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-28 22:57
50K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-04-30 16:21
3.2K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-04-30 16:21
3.7K
ol-2.6-r0.apk
2025-05-01 06:21
1.0M
ol-dev-2.6-r0.apk
2025-05-01 06:21
16K
ol-doc-2.6-r0.apk
2025-05-01 06:21
2.6K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-01 06:30
3.4K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-01 06:30
3.6K
perl-html-formhandler-0.40068-r0.apk
2025-05-01 06:30
135K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-01 06:30
323K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-01 06:30
2.8K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-01 06:30
3.3K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-01 06:30
4.9K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-01 06:30
4.2K
lcalc-2.1.0-r0.apk
2025-05-03 08:30
201K
lcalc-dev-2.1.0-r0.apk
2025-05-03 08:30
51K
lcalc-doc-2.1.0-r0.apk
2025-05-03 08:30
437K
lcalc-libs-2.1.0-r0.apk
2025-05-03 08:30
243K
sonar-scanner-7.1.0.4889-r0.apk
2025-05-05 00:02
11M
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-07 07:38
4.3K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-07 07:38
5.7K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-07 07:38
4.3K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-07 07:38
4.0K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-07 07:38
11K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-07 07:38
24K
php81-pecl-ds-1.6.0-r0.apk
2025-05-07 13:16
66K
commit-lsp-0.1.0-r0.apk
2025-05-08 11:05
2.2M
libglib-testing-0.1.1-r0.apk
2025-05-08 11:05
13K
libglib-testing-dev-0.1.1-r0.apk
2025-05-08 11:05
5.5K
libglib-testing-doc-0.1.1-r0.apk
2025-05-08 11:05
25K
py3-cryptg-0.5.0-r0.apk
2025-05-08 11:05
220K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-08 11:05
1.9K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-08 11:05
10K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-08 11:05
16K
py3-sphinxcontrib-plantuml-0.30-r0.apk
2025-05-08 11:05
12K
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk
2025-05-08 11:05
19K
spreadtrum_flash-1.20240815-r0.apk
2025-05-08 11:05
38K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-08 11:56
182K
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-08 11:56
5.9K
lomiri-clock-app-4.1.1-r0.apk
2025-05-09 17:32
230K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-09 17:32
456K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-09 17:32
66K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-09 17:32
2.7K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-09 17:32
91K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-09 17:32
40K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-09 17:32
3.3K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-09 17:32
26K
suru-icon-theme-2025.05.0-r0.apk
2025-05-09 17:32
2.9M
mage-1.13.0-r23.apk
2025-05-12 04:04
1.6M
mmar-0.2.5-r1.apk
2025-05-12 04:04
2.6M
nsq-1.3.0-r10.apk
2025-05-12 04:04
26M
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-12 04:04
3.7M
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-12 04:04
2.0K
muse-4.2.1-r2.apk
2025-05-12 11:59
6.4M
muse-doc-4.2.1-r2.apk
2025-05-12 11:59
4.1M
php83-pecl-phpy-1.0.11-r1.apk
2025-05-12 21:26
45K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-12 21:26
45K
piglit-0_git20241106-r1.apk
2025-05-12 21:26
90M
pixiewps-1.4.2-r2.apk
2025-05-12 21:26
63K
pixiewps-doc-1.4.2-r2.apk
2025-05-12 21:26
3.4K
ptylie-0.2-r2.apk
2025-05-12 21:26
12K
ptylie-doc-0.2-r2.apk
2025-05-12 21:26
3.2K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-12 21:26
449K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-12 21:26
11K
py3-pyte-0.8.2-r3.apk
2025-05-12 21:26
30K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-12 21:26
39K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-12 21:26
4.9K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-12 21:26
5.6K
qperf-0.4.11-r2.apk
2025-05-12 21:26
34K
qperf-doc-0.4.11-r2.apk
2025-05-12 21:26
5.6K
readosm-1.1.0-r3.apk
2025-05-12 21:26
15K
readosm-dev-1.1.0-r3.apk
2025-05-12 21:26
20K
river-shifttags-0.2.1-r1.apk
2025-05-12 21:26
6.8K
river-shifttags-doc-0.2.1-r1.apk
2025-05-12 21:26
2.4K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-12 23:18
6.8K
elementary-icon-theme-8.1.0-r0.apk
2025-05-13 06:37
5.0M
hctl-0.2.7-r0.apk
2025-05-14 00:04
1.4M
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 15:03
185K
castero-0.9.5-r4.apk
2025-05-14 18:13
50K
castero-pyc-0.9.5-r4.apk
2025-05-14 18:13
94K
downloader-cli-0.3.4-r2.apk
2025-05-14 18:13
2.0K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 18:13
53K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 18:13
90K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 18:13
11K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 18:13
14K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 18:13
24K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 18:13
33K
py3-grequests-0.7.0-r3.apk
2025-05-14 18:13
6.7K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 18:13
5.8K
py3-itunespy-1.6-r5.apk
2025-05-14 18:13
9.7K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 18:13
15K
py3-pysrt-1.1.2-r5.apk
2025-05-14 18:13
25K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 18:13
23K
py3-simber-0.2.6-r5.apk
2025-05-14 18:13
12K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 18:13
16K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 18:13
78K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 18:13
95K
xcape-1.2-r1.apk
2025-05-14 18:13
7.0K
xcape-doc-1.2-r1.apk
2025-05-14 18:13
3.1K
xkb-switch-1.8.5-r1.apk
2025-05-14 18:13
18K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 18:13
2.1K
ytmdl-2024.08.15.1-r1.apk
2025-05-14 18:13
50K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 18:13
2.3K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 18:13
78K
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 18:13
2.1K
py3-confluent-kafka-2.9.0-r0.apk
2025-05-15 09:44
190K
py3-confluent-kafka-pyc-2.9.0-r0.apk
2025-05-15 09:44
227K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 21:23
8.1K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 21:23
6.5K
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 19:16
2.8M
mdbook-katex-0.9.4-r0.apk
2025-05-17 10:44
1.3M
httplz-2.2.0-r0.apk
2025-05-18 15:38
1.4M
httplz-doc-2.2.0-r0.apk
2025-05-18 15:38
2.3K
diskus-0.8.0-r0.apk
2025-05-18 22:15
361K
parse-changelog-0.6.12-r0.apk
2025-05-18 22:17
585K
afew-3.0.1-r0.apk
2025-05-18 22:22
73K
afew-doc-3.0.1-r0.apk
2025-05-18 22:22
12K
espeakup-0.90-r2.apk
2025-05-18 22:31
12K
espeakup-openrc-0.90-r2.apk
2025-05-18 22:31
1.8K
trippy-0.13.0-r0.apk
2025-05-18 23:20
2.2M
trippy-bash-completion-0.13.0-r0.apk
2025-05-18 23:20
3.2K
trippy-zsh-completion-0.13.0-r0.apk
2025-05-18 23:20
4.8K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 10:23
11K
river-luatile-0.1.4-r0.apk
2025-05-19 12:03
260K
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:41
22K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:41
22K
drogon-1.9.4-r2.apk
2025-05-22 06:53
1.6M
drogon-dev-1.9.4-r2.apk
2025-05-22 06:53
121K
drogon-doc-1.9.4-r2.apk
2025-05-22 06:53
2.3K
elementary-dock-8.0.2-r0.apk
2025-05-24 22:57
93K
elementary-dock-lang-8.0.2-r0.apk
2025-05-24 22:57
28K
tinyemu-2019.12.21-r0.apk
2025-05-25 23:36
176K
obnc-0.17.2-r0.apk
2025-05-25 23:37
143K
obnc-doc-0.17.2-r0.apk
2025-05-25 23:37
33K
ruby-appraisal-2.5.0-r0.apk
2025-05-25 23:52
11K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-25 23:52
2.3K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-25 23:54
14K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-25 23:54
2.3K
ruby-coderay-1.1.3-r0.apk
2025-05-25 23:56
89K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-25 23:56
2.3K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-25 23:58
22K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 00:00
3.1K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 00:00
2.3K
infnoise-0.3.3-r0.apk
2025-05-26 08:58
16K
infnoise-doc-0.3.3-r0.apk
2025-05-26 08:58
4.1K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 08:58
1.7K
libinfnoise-0.3.3-r0.apk
2025-05-26 08:58
14K
touchpad-emulator-0.3-r0.apk
2025-05-26 09:33
13K
hiawatha-11.6-r1.apk
2025-05-27 11:08
189K
hiawatha-doc-11.6-r1.apk
2025-05-27 11:08
21K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 11:08
17K
hiawatha-openrc-11.6-r1.apk
2025-05-27 11:08
1.7K
font-cascadia-2407.24-r1.apk
2025-05-27 14:41
1.3K
font-cascadia-code-2407.24-r1.apk
2025-05-27 14:41
526K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 14:41
507K
abnfgen-0.21-r0.apk
2025-05-27 21:26
18K
abnfgen-doc-0.21-r0.apk
2025-05-27 21:26
5.1K
baikal-0.10.1-r1.apk
2025-05-27 21:37
1.3M
baikal-mysql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 21:37
1.4K
ouch-0.6.1-r0.apk
2025-05-28 07:37
1.8M
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 07:37
2.5K
ouch-doc-0.6.1-r0.apk
2025-05-28 07:37
3.8K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 07:37
3.0K
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 07:37
3.1K
botan2-2.19.5-r0.apk
2025-05-28 21:37
436K
botan2-dev-2.19.5-r0.apk
2025-05-28 21:37
311K
botan2-doc-2.19.5-r0.apk
2025-05-28 21:37
306K
botan2-libs-2.19.5-r0.apk
2025-05-28 21:37
3.0M
kerberoast-0.2.0-r2.apk
2025-05-29 11:59
9.3K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 11:59
15K
masky-0.2.0-r2.apk
2025-05-29 11:59
277K
masky-pyc-0.2.0-r2.apk
2025-05-29 11:59
64K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 11:59
20K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 11:59
2.0K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 11:59
808K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 11:59
1.0M
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 11:59
27K
py3-minidump-0.0.24-r1.apk
2025-05-29 11:59
64K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 11:59
129K
py3-winacl-0.1.9-r1.apk
2025-05-29 11:59
83K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 11:59
131K
toss-1.1-r1.apk
2025-05-29 11:59
12K
66-tools-0.1.2.0-r0.apk
2025-06-01 15:50
61K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-01 15:50
106K
66-tools-dev-0.1.2.0-r0.apk
2025-06-01 15:50
2.0K
66-tools-doc-0.1.2.0-r0.apk
2025-06-01 15:50
39K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-01 15:50
2.9K
oblibs-0.3.4.0-r0.apk
2025-06-01 15:50
35K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-01 15:50
98K
oblibs-dev-0.3.4.0-r0.apk
2025-06-01 15:50
250K
66-0.8.2.1-r0.apk
2025-06-03 19:11
312K
66-dbg-0.8.2.1-r0.apk
2025-06-03 19:11
750K
66-dev-0.8.2.1-r0.apk
2025-06-03 19:11
1.7M
66-doc-0.8.2.1-r0.apk
2025-06-03 19:11
190K
66-init-0.8.2.1-r0.apk
2025-06-03 19:11
1.5K
perl-class-unload-0.11-r0.apk
2025-06-04 17:22
2.6K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 17:22
3.1K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 17:22
5.1K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 17:22
5.2K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 14:57
6.1K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 14:57
3.9K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 14:57
5.2K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 14:57
3.5K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 14:57
4.1K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 14:57
3.7K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 02:00
5.4K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 02:00
4.3K
perl-text-german-0.06-r0.apk
2025-06-07 02:00
13K
perl-text-german-doc-0.06-r0.apk
2025-06-07 02:00
3.0K
perl-html-formatexternal-26-r0.apk
2025-06-07 14:55
17K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 14:55
23K
perl-shell-guess-0.10-r0.apk
2025-06-07 14:55
6.0K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 14:55
5.8K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 14:55
4.6K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 14:55
3.5K
klong-20221212-r0.apk
2025-06-07 15:17
376K
faust-2.79.3-r0.apk
2025-06-07 17:02
8.6M
faust-dev-2.79.3-r0.apk
2025-06-07 17:02
1.4M
faust-doc-2.79.3-r0.apk
2025-06-07 17:02
17M
faust-static-2.79.3-r0.apk
2025-06-07 17:02
547K
faust-tools-2.79.3-r0.apk
2025-06-07 17:02
120K
faust-vim-2.79.3-r0.apk
2025-06-07 17:02
2.6K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 16:40
22K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 16:40
53K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 16:40
3.3K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 16:40
3.5K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 16:40
3.2K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 16:40
3.6K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 16:40
3.1K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 16:40
3.4K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 16:40
546K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 16:40
4.5K
perl-lingua-stem-2.31-r0.apk
2025-06-08 16:40
12K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 16:40
34K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 16:40
4.3K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 16:40
3.0K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 16:40
6.2K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 16:40
3.8K
perl-module-path-0.19-r0.apk
2025-06-08 16:40
4.7K
perl-module-path-doc-0.19-r0.apk
2025-06-08 16:40
5.8K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 16:40
7.8K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 16:40
6.8K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 16:40
5.3K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 16:40
3.9K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 16:40
5.2K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 16:40
3.9K
rustic-0.9.3-r0.apk
2025-06-09 02:21
6.2M
rustic-bash-completion-0.9.3-r0.apk
2025-06-09 02:21
8.7K
rustic-fish-completion-0.9.3-r0.apk
2025-06-09 02:21
17K
rustic-zsh-completion-0.9.3-r0.apk
2025-06-09 02:21
13K
ansible-bender-0.10.1-r2.apk
2025-06-09 08:49
36K
ansible-bender-doc-0.10.1-r2.apk
2025-06-09 08:49
9.9K
ansible-bender-pyc-0.10.1-r2.apk
2025-06-09 08:49
64K
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 08:49
886K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 12:05
3.6K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 12:05
3.2K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 12:05
14K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 12:05
38K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 12:06
5.3K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 12:06
3.9K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 12:06
5.4K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 12:06
5.6K
perl-text-worddiff-0.09-r0.apk
2025-06-09 16:26
10K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-09 16:26
14K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-09 16:27
3.2K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-09 16:27
3.0K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-09 16:27
97K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-09 16:27
77K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 09:39
12K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 09:39
18K
megazeux-2.93d-r0.apk
2025-06-10 16:00
1.7M
megazeux-doc-2.93d-r0.apk
2025-06-10 16:00
465K
py3-svglib-1.5.1-r0.apk
2025-06-10 18:51
30K
py3-svglib-doc-1.5.1-r0.apk
2025-06-10 18:51
2.1K
py3-svglib-pyc-1.5.1-r0.apk
2025-06-10 18:51
42K
perl-asa-1.04-r0.apk
2025-06-11 16:35
4.3K
perl-asa-doc-1.04-r0.apk
2025-06-11 16:35
5.0K
perl-io-handle-util-0.02-r0.apk
2025-06-11 16:35
11K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 16:35
9.8K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 16:36
13K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 16:36
14K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 16:36
19K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 16:36
18K
w_scan2-1.0.17-r0.apk
2025-06-11 16:42
153K
w_scan2-doc-1.0.17-r0.apk
2025-06-11 16:42
4.2K
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 13:21
1.4M
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 13:21
64K
py3-arcus-5.3.0-r5.apk
2025-06-12 13:21
96K
turn-rs-3.4.0-r1.apk
2025-06-12 13:21
594K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 13:21
11K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 13:21
2.0K
tsung-1.8.0-r3.apk
2025-06-13 05:36
722K
antimicrox-3.5.1-r0.apk
2025-06-13 13:54
1.6M
antimicrox-doc-3.5.1-r0.apk
2025-06-13 13:54
24K
logc-0.5.0-r1.apk
2025-06-13 14:01
8.5K
logc-argp-0.5.0-r1.apk
2025-06-13 14:01
17K
logc-config-0.5.0-r1.apk
2025-06-13 14:01
5.1K
logc-dev-0.5.0-r1.apk
2025-06-13 14:01
8.6K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 18:17
18K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 18:17
40K
perl-web-machine-0.17-r0.apk
2025-06-13 18:17
20K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 18:17
29K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 18:18
5.9K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 18:18
6.4K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 18:18
4.5K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 18:18
4.5K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 02:46
3.6K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 02:46
4.0K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 02:46
4.7K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 02:46
3.9K
elementary-settings-daemon-8.3.0-r0.apk
2025-06-14 18:10
85K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-06-14 18:10
74K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-06-14 18:10
1.8K
lavacli-2.4-r0.apk
2025-06-14 18:10
49K
lavacli-doc-2.4-r0.apk
2025-06-14 18:10
34K
lavacli-pyc-2.4-r0.apk
2025-06-14 18:10
88K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-14 18:10
39K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-14 18:10
50K
rt5-5.0.8-r0.apk
2025-06-15 04:44
17M
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 04:46
3.4K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 04:46
3.5K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 04:46
3.1K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 04:46
4.5K
perl-task-catalyst-4.02-r0.apk
2025-06-15 04:46
3.0K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 04:46
3.7K
perl-css-inliner-4027-r0.apk
2025-06-16 11:51
16K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 11:51
9.4K
perl-html-query-0.09-r0.apk
2025-06-16 11:51
14K
perl-html-query-doc-0.09-r0.apk
2025-06-16 11:51
10K
mpv-sponsorblock-2.2.0-r0.apk
2025-06-16 15:16
1.4M
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 17:58
783K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 17:58
2.6K
mdbook-admonish-1.20.0-r0.apk
2025-06-16 21:33
1.1M
dbus-broker-37-r0.apk
2025-06-17 10:17
87K
dbus-broker-doc-37-r0.apk
2025-06-17 10:17
5.9K
battery-limit-openrc-1-r0.apk
2025-06-17 10:18
1.8K
today-6.2.1-r0.apk
2025-06-17 15:29
3.2K
today-doc-6.2.1-r0.apk
2025-06-17 15:29
3.3K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-17 22:12
5.3K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-17 22:12
6.1K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 00:16
25K
xemu-0.8.74-r0.apk
2025-06-19 00:16
4.7M
bindfs-1.17.7-r1.apk
2025-06-19 09:30
23K
bindfs-doc-1.17.7-r1.apk
2025-06-19 09:30
9.1K
lizardfs-3.13.0-r17.apk
2025-06-19 09:30
116K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 09:30
1.9K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 09:30
31K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 09:30
7.4K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 09:30
2.0K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 09:30
344K
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 09:30
1.7K
lizardfs-client-3.13.0-r17.apk
2025-06-19 09:30
1.2M
lizardfs-doc-3.13.0-r17.apk
2025-06-19 09:30
11K
lizardfs-master-3.13.0-r17.apk
2025-06-19 09:30
930K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 09:30
1.6K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 09:30
142K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 09:30
1.7K
moosefs-4.56.6-r2.apk
2025-06-19 09:30
286K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 09:30
121K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 09:30
7.8K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 09:30
2.0K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 09:30
207K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 09:30
1.7K
moosefs-client-4.56.6-r2.apk
2025-06-19 09:30
691K
moosefs-doc-4.56.6-r2.apk
2025-06-19 09:30
95K
moosefs-master-4.56.6-r2.apk
2025-06-19 09:30
383K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 09:30
1.7K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 09:30
42K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 09:30
1.7K
moosefs-static-4.56.6-r2.apk
2025-06-19 09:30
724K
tup-0.7.11-r1.apk
2025-06-19 09:30
243K
tup-doc-0.7.11-r1.apk
2025-06-19 09:30
21K
tup-vim-0.7.11-r1.apk
2025-06-19 09:30
2.5K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 10:55
2.7K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 10:55
3.3K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 10:55
8.2K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 10:55
9.4K
flatseal-2.3.1-r0.apk
2025-06-19 15:30
43K
flatseal-doc-2.3.1-r0.apk
2025-06-19 15:30
8.5K
flatseal-lang-2.3.1-r0.apk
2025-06-19 15:30
79K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 15:18
3.1K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 15:18
3.4K
pihole-6.2.3-r0.apk
2025-06-20 18:05
5.8M
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 18:05
2.2K
pihole-doc-6.2.3-r0.apk
2025-06-20 18:05
3.8K
pihole-openrc-6.2.3-r0.apk
2025-06-20 18:05
1.8K
openrdap-0.9.1-r0.apk
2025-06-24 15:50
3.3M
openrdap-doc-0.9.1-r0.apk
2025-06-24 15:50
2.2K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 19:38
16K
android-file-transfer-4.5-r0.apk
2025-06-24 23:03
202K
android-file-transfer-cli-4.5-r0.apk
2025-06-24 23:03
116K
android-file-transfer-dev-4.5-r0.apk
2025-06-24 23:03
1.6K
android-file-transfer-libs-4.5-r0.apk
2025-06-24 23:03
134K
srain-1.8.1-r0.apk
2025-06-26 18:41
165K
srain-lang-1.8.1-r0.apk
2025-06-26 18:41
35K
py3-senf-1.5.0-r0.apk
2025-06-27 02:59
20K
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 02:59
32K
mm-common-1.0.7-r0.apk
2025-06-27 16:39
414K
mm-common-doc-1.0.7-r0.apk
2025-06-27 16:39
33K
xcompmgr-1.1.10-r0.apk
2025-06-28 11:24
15K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 11:24
2.6K
apache2-mod-perl-2.0.13-r2.apk
2025-06-30 07:03
677K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-06-30 07:03
70K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-06-30 07:03
38K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-06-30 07:03
304K
dnssec-tools-2.2.3-r13.apk
2025-06-30 07:03
760K
dnssec-tools-dev-2.2.3-r13.apk
2025-06-30 07:03
190K
dnssec-tools-doc-2.2.3-r13.apk
2025-06-30 07:03
317K
flowd-0.9.1-r11.apk
2025-06-30 07:03
80K
flowd-dev-0.9.1-r11.apk
2025-06-30 07:03
8.1K
flowd-doc-0.9.1-r11.apk
2025-06-30 07:03
10K
flowd-openrc-0.9.1-r11.apk
2025-06-30 07:03
1.9K
hw-probe-1.6.6-r2.apk
2025-06-30 07:03
124K
openwsman-2.8.1-r1.apk
2025-06-30 07:03
50K
openwsman-dev-2.8.1-r1.apk
2025-06-30 07:03
56K
openwsman-doc-2.8.1-r1.apk
2025-06-30 07:03
2.4K
openwsman-libs-2.8.1-r1.apk
2025-06-30 07:03
346K
perl-alien-libgumbo-0.05-r1.apk
2025-06-30 07:03
638K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-06-30 07:03
4.8K
perl-autobox-3.0.2-r1.apk
2025-06-30 07:03
19K
perl-autobox-doc-3.0.2-r1.apk
2025-06-30 07:03
8.9K
perl-b-utils-0.27-r1.apk
2025-06-30 07:03
19K
perl-b-utils-doc-0.27-r1.apk
2025-06-30 07:03
9.5K
perl-barcode-zbar-0.10-r4.apk
2025-06-30 07:03
33K
perl-barcode-zbar-doc-0.10-r4.apk
2025-06-30 07:03
13K
perl-conf-libconfig-1.0.3-r2.apk
2025-06-30 07:03
26K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-06-30 07:03
5.5K
perl-crypt-blowfish-2.14-r1.apk
2025-06-30 07:03
12K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-06-30 07:03
4.1K
perl-data-clone-0.006-r1.apk
2025-06-30 07:03
10K
perl-data-clone-doc-0.006-r1.apk
2025-06-30 07:03
4.5K
perl-data-dump-streamer-2.42-r1.apk
2025-06-30 07:03
51K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-06-30 07:03
17K
perl-devel-leak-0.03-r14.apk
2025-06-30 07:03
7.0K
perl-devel-leak-doc-0.03-r14.apk
2025-06-30 07:03
3.3K
perl-devel-nytprof-6.14-r1.apk
2025-06-30 07:03
397K
perl-devel-nytprof-doc-6.14-r1.apk
2025-06-30 07:03
51K
perl-encode-detect-1.01-r1.apk
2025-06-30 07:03
74K
perl-encode-detect-doc-1.01-r1.apk
2025-06-30 07:03
4.8K
perl-file-mmagic-xs-0.09008-r5.apk
2025-06-30 07:03
30K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-06-30 07:03
4.3K
perl-flowd-0.9.1-r11.apk
2025-06-30 07:03
22K
perl-flowd-doc-0.9.1-r11.apk
2025-06-30 07:03
3.3K
perl-html-gumbo-0.18-r2.apk
2025-06-30 07:03
15K
perl-html-gumbo-doc-0.18-r2.apk
2025-06-30 07:03
5.4K
perl-imager-1.028-r1.apk
2025-06-30 07:03
555K
perl-imager-doc-1.028-r1.apk
2025-06-30 07:03
286K
perl-libapreq2-2.17-r3.apk
2025-06-30 07:03
103K
perl-libapreq2-dev-2.17-r3.apk
2025-06-30 07:03
57K
perl-libapreq2-doc-2.17-r3.apk
2025-06-30 07:03
37K
perl-linux-pid-0.04-r15.apk
2025-06-30 07:03
4.8K
perl-linux-pid-doc-0.04-r15.apk
2025-06-30 07:03
3.1K
perl-math-int64-0.57-r2.apk
2025-06-30 07:03
28K
perl-math-int64-doc-0.57-r2.apk
2025-06-30 07:03
11K
perl-math-libm-1.00-r15.apk
2025-06-30 07:03
11K
perl-math-libm-doc-1.00-r15.apk
2025-06-30 07:03
3.2K
perl-musicbrainz-discid-0.06-r2.apk
2025-06-30 07:03
9.6K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-06-30 07:03
4.4K
perl-net-async-redis-xs-1.001-r2.apk
2025-06-30 07:03
9.5K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-06-30 07:03
5.4K
perl-pango-1.227-r12.apk
2025-06-30 07:03
86K
perl-pango-doc-1.227-r12.apk
2025-06-30 07:03
82K
perl-perlio-locale-0.10-r13.apk
2025-06-30 07:03
4.6K
perl-perlio-locale-doc-0.10-r13.apk
2025-06-30 07:03
3.1K
perl-snmp-5.0404-r14.apk
2025-06-30 07:03
73K
perl-snmp-doc-5.0404-r14.apk
2025-06-30 07:03
14K
perl-syntax-keyword-match-0.15-r1.apk
2025-06-30 07:03
14K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-06-30 07:03
7.8K
perl-syntax-operator-equ-0.10-r1.apk
2025-06-30 07:03
8.0K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-06-30 07:03
6.6K
perl-term-size-0.211-r5.apk
2025-06-30 07:03
5.8K
perl-term-size-doc-0.211-r5.apk
2025-06-30 07:03
3.8K
perl-xml-bare-0.53-r14.apk
2025-06-30 07:03
28K
perl-xml-bare-doc-0.53-r14.apk
2025-06-30 07:03
11K
pptpclient-1.10.0-r6.apk
2025-06-30 07:03
34K
pptpclient-doc-1.10.0-r6.apk
2025-06-30 07:03
7.2K
silc-client-1.1.11-r18.apk
2025-06-30 07:03
911K
silc-client-doc-1.1.11-r18.apk
2025-06-30 07:03
82K
video-trimmer-25.03-r0.apk
2025-06-30 13:45
411K
video-trimmer-lang-25.03-r0.apk
2025-06-30 13:45
93K
kdiskmark-3.2.0-r0.apk
2025-06-30 16:46
175K
kdiskmark-lang-3.2.0-r0.apk
2025-06-30 16:46
32K
rizin-0.8.1-r0.apk
2025-06-30 16:51
2.7M
rizin-dev-0.8.1-r0.apk
2025-06-30 16:51
323K
rizin-doc-0.8.1-r0.apk
2025-06-30 16:51
19K
rizin-libs-0.8.1-r0.apk
2025-06-30 16:51
5.7M
netscanner-0.5.1-r1.apk
2025-07-01 19:03
3.7M
netscanner-doc-0.5.1-r1.apk
2025-07-01 19:03
3.3K
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 19:04
783K
notification-daemon-3.20.0-r1.apk
2025-07-01 22:03
62K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2025-07-02 06:39
11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2025-07-02 06:39
231K
icingaweb2-module-generictts-2.1.0-r0.apk
2025-07-02 06:39
6.3K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2025-07-02 06:39
1.8K
dmenu-wl-0.1-r0.apk
2025-07-02 10:32
19K
dmenu-wl-doc-0.1-r0.apk
2025-07-02 10:32
4.1K
cups-pdf-3.0.2-r0.apk
2025-07-04 21:11
22K
deviced-0_git20250427-r0.apk
2025-07-05 20:04
131K
deviced-dev-0_git20250427-r0.apk
2025-07-05 20:04
26K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 20:04
1.7K
curtail-1.13.0-r0.apk
2025-07-05 20:40
30K
curtail-lang-1.13.0-r0.apk
2025-07-05 20:40
78K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 00:15
9.5K
py3-chameleon-4.6.0-r0.apk
2025-07-06 09:16
97K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 09:16
131K
ruby-ansi-1.5.0-r0.apk
2025-07-06 18:54
26K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 18:54
2.2K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 18:54
4.8K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 18:54
20K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 18:54
25K
varnish-modules-0.26.0-r0.apk
2025-07-06 18:54
41K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 18:54
22K
perl-devel-trace-0.12-r0.apk
2025-07-06 19:08
3.4K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 19:08
3.5K
py3-dateparser-1.2.2-r0.apk
2025-07-06 19:46
216K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 19:46
335K
py3-schema-0.7.7-r0.apk
2025-07-07 16:04
19K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 16:04
21K
perl-smart-comments-1.06-r0.apk
2025-07-07 17:02
12K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 17:02
8.5K
perl-data-section-0.200008-r0.apk
2025-07-07 17:02
6.4K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 17:02
5.6K
catfish-4.20.1-r0.apk
2025-07-07 19:19
128K
catfish-doc-4.20.1-r0.apk
2025-07-07 19:19
13K
catfish-lang-4.20.1-r0.apk
2025-07-07 19:19
167K
catfish-pyc-4.20.1-r0.apk
2025-07-07 19:19
104K
perl-software-license-0.104007-r0.apk
2025-07-08 16:53
107K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 16:53
50K
perl-date-range-1.41-r0.apk
2025-07-08 16:54
3.8K
perl-date-range-doc-1.41-r0.apk
2025-07-08 16:54
4.0K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 16:54
22K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 16:54
11K
metadata-cleaner-2.5.6-r0.apk
2025-07-08 20:56
49K
metadata-cleaner-doc-2.5.6-r0.apk
2025-07-08 20:56
1.9M
metadata-cleaner-lang-2.5.6-r0.apk
2025-07-08 20:56
66K
mdp-1.0.18-r0.apk
2025-07-10 19:45
18K
mdp-doc-1.0.18-r0.apk
2025-07-10 19:45
3.9K
perl-array-diff-0.09-r0.apk
2025-07-10 19:45
3.3K
perl-array-diff-doc-0.09-r0.apk
2025-07-10 19:45
3.9K
perl-data-binary-0.01-r0.apk
2025-07-10 19:45
2.8K
perl-data-binary-doc-0.01-r0.apk
2025-07-10 19:45
3.1K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-10 19:45
7.8K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-10 19:45
4.2K
minigalaxy-1.4.0-r0.apk
2025-07-11 03:36
197K
minigalaxy-pyc-1.4.0-r0.apk
2025-07-11 03:36
135K
perl-archive-any-lite-0.11-r0.apk
2025-07-11 08:46
4.1K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-11 08:46
3.7K
perl-file-treecreate-0.0.1-r0.apk
2025-07-11 08:46
4.0K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-11 08:46
4.4K
perl-parse-distname-0.05-r0.apk
2025-07-11 08:46
5.5K
perl-parse-distname-doc-0.05-r0.apk
2025-07-11 08:46
4.4K
perl-file-find-object-0.3.9-r0.apk
2025-07-11 16:50
9.2K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-11 16:50
13K
perl-web-scraper-0.38-r0.apk
2025-07-11 16:50
7.5K
perl-web-scraper-doc-0.38-r0.apk
2025-07-11 16:50
8.1K
perl-test-perl-critic-1.04-r0.apk
2025-07-11 16:51
6.8K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-11 16:51
6.4K
font-terminus-ttf-4.49.3-r0.apk
2025-07-11 19:54
538K
perl-regexp-trie-0.02-r0.apk
2025-07-12 07:22
3.0K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 07:22
3.3K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 13:43
27K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 13:43
28K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 13:43
41K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 13:43
49K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 13:43
6.4K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 13:43
6.9K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 15:21
19K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 15:21
34K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 15:28
5.6K
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 15:28
3.7K
py3-enzyme-0.5.2-r0.apk
2025-07-12 15:35
23K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 15:35
19K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 15:35
11K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 15:35
17K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 16:01
50K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 16:01
91K
py3-ly-0.9.9-r0.apk
2025-07-12 21:56
187K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 21:56
8.1K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 21:56
352K
py3-evohome-client-0.3.9-r0.apk
2025-07-13 21:33
19K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 21:33
27K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 21:34
13K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 21:34
19K
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 21:41
43K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 21:41
67K
py3-furl-2.1.4-r0.apk
2025-07-13 21:52
28K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 21:52
32K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 21:53
13K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 21:53
18K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 03:36
10K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 03:36
17K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 05:59
28K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 05:59
17K
wsmancli-2.8.0-r0.apk
2025-07-14 09:41
19K
wsmancli-doc-2.8.0-r0.apk
2025-07-14 09:41
3.7K
isomd5sum-1.2.5-r0.apk
2025-07-15 08:02
21K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 08:02
3.0K
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 08:26
4.0M
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 08:26
98K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 16:49
11K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 16:49
10K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 18:37
47K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 18:37
35K
ovos-phal-0.2.10-r0.apk
2025-07-15 19:29
10K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 19:29
7.2K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 19:29
92K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 19:29
165K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 19:34
86K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 19:34
167K
ovos-gui-1.3.3-r0.apk
2025-07-15 20:02
38K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 20:02
38K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 20:02
8.9K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 20:02
4.8K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 20:02
8.8K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 20:02
5.1K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 20:02
73K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 20:02
130K
bartib-1.0.1-r1.apk
2025-07-15 21:01
401K
batmon-0.0.1-r0.apk
2025-07-15 21:01
451K
dmarc-metrics-exporter-1.2.0-r0.apk
2025-07-15 21:01
25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2025-07-15 21:01
1.9K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2025-07-15 21:01
47K
elf_diff-0.7.1-r3.apk
2025-07-15 21:01
106K
elf_diff-pyc-0.7.1-r3.apk
2025-07-15 21:01
106K
libmysofa-1.3.2-r0.apk
2025-07-15 21:01
27K
libmysofa-dev-1.3.2-r0.apk
2025-07-15 21:01
6.9K
libmysofa-tools-1.3.2-r0.apk
2025-07-15 21:01
1.1M
pyinfra-3.3.1-r0.apk
2025-07-16 00:27
192K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 00:27
361K
tremc-0.9.4-r0.apk
2025-07-16 04:05
53K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 04:05
1.9K
tremc-doc-0.9.4-r0.apk
2025-07-16 04:05
2.8K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 04:05
1.8K
avara-0.7.1-r1.apk
2025-07-17 05:57
21M
logc-czmq-0.1.0-r0.apk
2025-07-17 05:57
4.0K
logc-libevent-0.1.0-r0.apk
2025-07-17 05:57
3.4K
logc-libs-0.1.0-r0.apk
2025-07-17 05:57
1.3K
logc-libs-dev-0.1.0-r0.apk
2025-07-17 05:57
5.3K
py3-numpy-stl-3.2.0-r0.apk
2025-07-17 05:57
21K
py3-numpy-stl-pyc-3.2.0-r0.apk
2025-07-17 05:57
28K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2025-07-17 05:57
7.8K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2025-07-17 05:57
3.4K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-17 05:57
11K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-17 05:57
8.9K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-17 05:57
8.2K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-17 05:57
3.9K
sentinel-minipot-2.3.0-r1.apk
2025-07-17 05:57
45K
sentinel-minipot-openrc-2.3.0-r1.apk
2025-07-17 05:57
2.5K
sentinel-proxy-2.1.0-r1.apk
2025-07-17 05:57
44K
sentinel-proxy-dev-2.1.0-r1.apk
2025-07-17 05:57
4.5K
sentinel-proxy-openrc-2.1.0-r1.apk
2025-07-17 05:57
2.2K
tui-journal-0.10.0-r0.apk
2025-07-17 05:57
2.0M
tui-journal-doc-0.10.0-r0.apk
2025-07-17 05:57
6.9K
wlavu-0_git20201101-r1.apk
2025-07-17 05:57
13K
svgbob-0.7.6-r0.apk
2025-07-18 05:45
463K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-18 07:51
249K
way-secure-0.2.0-r0.apk
2025-07-18 18:54
203K
way-secure-doc-0.2.0-r0.apk
2025-07-18 18:54
3.0K
i3status-rust-0.34.0-r0.apk
2025-07-19 05:24
5.3M
i3status-rust-doc-0.34.0-r0.apk
2025-07-19 05:24
33K
ovos-audio-1.0.1-r0.apk
2025-07-19 06:12
136K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-19 06:12
36K
kontainer-1.0.1-r0.apk
2025-07-19 08:25
195K
solanum-6.0.0-r0.apk
2025-07-19 11:38
255K
solanum-lang-6.0.0-r0.apk
2025-07-19 11:38
47K
dislocker-0.7.3-r6.apk
2025-07-19 22:46
12K
dislocker-doc-0.7.3-r6.apk
2025-07-19 22:46
6.0K
dislocker-libs-0.7.3-r6.apk
2025-07-19 22:46
47K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
17K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
17K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
18K
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 15:26
32K
solarus-engine-1.7.0-r2.apk
2025-07-20 19:31
2.2M
solarus-engine-doc-1.7.0-r2.apk
2025-07-20 19:31
3.4K
laze-0.1.38-r0.apk
2025-07-20 20:22
1.2M
laze-bash-completion-0.1.38-r0.apk
2025-07-20 20:22
3.1K
laze-doc-0.1.38-r0.apk
2025-07-20 20:22
3.6K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 20:22
3.4K
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 20:22
3.7K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-22 20:12
36K
git-extras-7.4.0-r0.apk
2025-07-22 20:31
57K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-22 20:31
2.9K
git-extras-doc-7.4.0-r0.apk
2025-07-22 20:31
65K
macchina-6.4.0-r0.apk
2025-07-22 20:35
1.0M
macchina-doc-6.4.0-r0.apk
2025-07-22 20:35
5.7K
screenkey-1.5-r7.apk
2025-07-22 20:46
77K
screenkey-doc-1.5-r7.apk
2025-07-22 20:46
11K
screenkey-pyc-1.5-r7.apk
2025-07-22 20:46
73K
libgrapheme-2.0.2-r0.apk
2025-07-22 20:52
26K
libgrapheme-dev-2.0.2-r0.apk
2025-07-22 20:52
32K
libgrapheme-doc-2.0.2-r0.apk
2025-07-22 20:52
21K
guestfs-tools-1.56.1-r0.apk
2025-07-22 22:02
328K
libguestfs-1.56.1-r0.apk
2025-07-22 22:02
346K
libguestfs-dev-1.56.1-r0.apk
2025-07-22 22:02
29K
libguestfs-doc-1.56.1-r0.apk
2025-07-22 22:02
569K
libguestfs-static-1.56.1-r0.apk
2025-07-22 22:02
464K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-22 22:02
100K
py3-libguestfs-1.56.1-r0.apk
2025-07-22 22:02
197K
ruby-libguestfs-1.56.1-r0.apk
2025-07-22 22:02
116K
otpclient-4.1.1-r0.apk
2025-07-22 22:44
117K
otpclient-doc-4.1.1-r0.apk
2025-07-22 22:44
3.6K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 11:59
24K
perl-template-tiny-1.16-r0.apk
2025-07-24 12:45
5.5K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 12:45
5.0K
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 17:29
664K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 12:56
14K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 12:56
11K
py3-dunamai-1.25.0-r0.apk
2025-07-25 22:24
27K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-25 22:24
44K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-25 23:41
21K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-25 23:41
26K
fatrace-0.18.0-r0.apk
2025-07-27 19:28
10K
fatrace-doc-0.18.0-r0.apk
2025-07-27 19:28
3.3K
ruby-facter-4.10.0-r0.apk
2025-07-28 02:04
218K
py3-discid-1.3.0-r0.apk
2025-07-28 21:30
13K
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 21:30
13K
cargo-shuttle-0.56.6-r0.apk
2025-07-29 07:56
5.0M
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 07:56
5.2K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 07:56
9.1K
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 07:56
7.9K
lynis-3.1.4-r0.apk
2025-07-29 08:07
276K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 08:07
3.0K
lynis-doc-3.1.4-r0.apk
2025-07-29 08:07
50K
soundconverter-4.1.1-r0.apk
2025-07-29 13:12
165K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 13:12
4.7K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 13:12
107K
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 13:12
77K
pneink-theme-1.3-r0.apk
2025-07-29 20:13
9.8K
pneink-theme-doc-1.3-r0.apk
2025-07-29 20:13
1.7K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 04:28
20K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 04:28
11K
tabiew-0.11.0-r0.apk
2025-07-30 07:43
15M
megatools-1.11.5.20250706-r0.apk
2025-07-30 09:51
65K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 09:51
4.1K
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 09:51
52K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 19:18
18K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 19:18
30K
sfwbar-1.0_beta161-r0.apk
2025-07-31 13:29
293K
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 13:29
27K
rpg-cli-1.2.0-r0.apk
2025-08-01 11:20
627K
rofi-pass-2.0.2-r2.apk
2025-08-01 11:21
9.0K
rofi-pass-doc-2.0.2-r2.apk
2025-08-01 11:21
5.2K
beancount-language-server-1.4.1-r0.apk
2025-08-03 10:41
1.5M
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
16K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
16K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 12:48
7.1K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 12:48
5.4K
castor-0.9.0-r2.apk
2025-08-05 17:00
833K
xendmail-0.4.4-r0.apk
2025-08-06 08:50
932K
xendmail-doc-0.4.4-r0.apk
2025-08-06 08:50
2.5K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-08 15:27
9.2K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-08 15:27
11K
bzmenu-0.2.1-r3.apk
2025-08-09 00:43
1.1M
gssdp-1.6.4-r1.apk
2025-08-09 00:43
48K
gssdp-dev-1.6.4-r1.apk
2025-08-09 00:43
16K
gupnp-1.6.9-r1.apk
2025-08-09 00:43
93K
gupnp-av-0.14.4-r1.apk
2025-08-09 00:43
84K
gupnp-av-dev-0.14.4-r1.apk
2025-08-09 00:43
42K
gupnp-dev-1.6.9-r1.apk
2025-08-09 00:43
50K
gupnp-dlna-0.12.0-r1.apk
2025-08-09 00:43
71K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-09 00:43
24K
gupnp-doc-1.6.9-r1.apk
2025-08-09 00:43
3.8K
libdbusaccess-1.0.20-r1.apk
2025-08-09 00:43
19K
libdbusaccess-dev-1.0.20-r1.apk
2025-08-09 00:43
5.0K
logtop-0.7-r1.apk
2025-08-09 00:44
15K
logtop-doc-0.7-r1.apk
2025-08-09 00:44
2.8K
logtop-libs-0.7-r1.apk
2025-08-09 00:44
16K
mirrorhall-0.1.1-r2.apk
2025-08-09 00:44
26K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-09 00:44
45K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-09 00:44
30K
py3-itemloaders-1.3.2-r1.apk
2025-08-09 00:44
12K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-09 00:44
17K
py3-logtop-0.7-r1.apk
2025-08-09 00:44
23K
py3-logtop-pyc-0.7-r1.apk
2025-08-09 00:44
4.1K
py3-rofi-1.0.1-r1.apk
2025-08-09 00:44
12K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-09 00:44
12K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-09 00:44
9.8K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-09 00:44
5.6K
redhat-fonts-4.1.0-r1.apk
2025-08-09 00:44
809K
rss-email-0.5.1-r0.apk
2025-08-10 11:20
2.2M
rss-email-doc-0.5.1-r0.apk
2025-08-10 11:20
6.4K
linkchecker-10.6.0-r0.apk
2025-08-10 11:40
181K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 11:40
39K
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 11:40
254K
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 17:42
22K
py3-maidenhead-1.8.0-r0.apk
2025-08-10 21:12
7.9K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-10 21:12
3.7K
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-10 21:12
8.0K
font-openmoji-16.0.0-r0.apk
2025-08-11 06:31
1.4M
cliquer-1.23-r0.apk
2025-08-12 04:16
7.6K
cliquer-dev-1.23-r0.apk
2025-08-12 04:16
7.5K
cliquer-libs-1.23-r0.apk
2025-08-12 04:16
29K
cliquer-static-1.23-r0.apk
2025-08-12 04:16
33K
cliquer-tests-1.23-r0.apk
2025-08-12 04:16
24K
rt6-6.0.1-r0.apk
2025-08-12 08:23
12M
wlroots0.17-0.17.4-r3.apk
2025-08-12 22:36
398K
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-12 22:36
1.5M
wlroots0.17-dev-0.17.4-r3.apk
2025-08-12 22:36
77K
py3-netmiko-4.6.0-r0.apk
2025-08-13 15:31
192K
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 15:31
372K
py3-yara-4.5.4-r0.apk
2025-08-13 15:32
20K
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-08-13 15:54
110K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-08-13 15:54
2.0M
py3-pyzor-1.1.2-r0.apk
2025-08-14 06:26
40K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 06:26
54K
netdiscover-0.21-r0.apk
2025-08-15 12:46
927K
netdiscover-doc-0.21-r0.apk
2025-08-15 12:46
4.2K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 14:43
13K
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 14:43
18K
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 14:43
174K
cargo-machete-0.9.1-r0.apk
2025-08-17 18:47
1.3M
cargo-machete-doc-0.9.1-r0.apk
2025-08-17 18:47
4.4K
netsed-1.4-r0.apk
2025-08-18 10:15
10K
rocm-cmake-6.4.3-r0.apk
2025-08-18 11:32
28K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
rocm-core-6.4.3-r0.apk
2025-08-18 11:32
7.7K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 11:32
6.6K
rocm-core-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
chocolate-doom-3.1.1-r0.apk
2025-08-19 01:30
1.9M
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 01:30
233K
lomiri-gallery-app-3.0.2-r2.apk
2025-08-19 08:57
3.7M
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-19 08:57
106K
getmail6-6.19.10-r0.apk
2025-08-19 11:29
70K
getmail6-doc-6.19.10-r0.apk
2025-08-19 11:29
139K
getmail6-pyc-6.19.10-r0.apk
2025-08-19 11:29
103K
meep-1.31.0-r1.apk
2025-08-19 13:22
663K
meep-dev-1.31.0-r1.apk
2025-08-19 13:22
505K
lv_font_conv-1.5.3-r0.apk
2025-08-19 19:54
1.1M
lv_font_conv-doc-1.5.3-r0.apk
2025-08-19 19:54
5.0K
nrf5-sdk-17.1.0-r0.apk
2025-08-19 19:54
47M
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-19 19:54
3.6K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-19 20:10
382K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-19 20:10
41K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-19 20:10
348K
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-19 20:10
180K
lomiri-sounds-25.01-r0.apk
2025-08-19 20:10
18M
dfl-applications-0.3.0-r0.apk
2025-08-21 08:03
71K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 08:03
3.9K
dfl-ipc-0.3.0-r0.apk
2025-08-21 08:03
50K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 08:03
4.8K
dfl-login1-0.3.0-r0.apk
2025-08-21 08:03
36K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 08:03
3.7K
dfl-sni-0.3.0-r0.apk
2025-08-21 08:03
64K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 08:03
5.0K
paperde-0.3.0-r2.apk
2025-08-21 08:03
630K
paperde-dev-0.3.0-r2.apk
2025-08-21 08:03
5.0K
wayqt-0.3.0-r1.apk
2025-08-21 08:03
149K
wayqt-dev-0.3.0-r1.apk
2025-08-21 08:03
18K
vim-rust-305-r1.apk
2025-08-21 08:04
20K
swappy-1.7.1-r0.apk
2025-08-21 23:29
30K
swappy-doc-1.7.1-r0.apk
2025-08-21 23:29
3.8K
swappy-lang-1.7.1-r0.apk
2025-08-21 23:29
3.8K
komikku-1.85.0-r0.apk
2025-08-22 08:07
444K
komikku-lang-1.85.0-r0.apk
2025-08-22 08:07
284K
komikku-pyc-1.85.0-r0.apk
2025-08-22 08:07
798K
maxima-5.48.1-r9.apk
2025-08-22 10:15
26M
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 10:15
2.3K
maxima-doc-5.48.1-r9.apk
2025-08-22 10:15
846K
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 10:15
9.6M
maxima-emacs-5.48.1-r9.apk
2025-08-22 10:15
111K
ttynvt-0.17-r0.apk
2025-08-22 12:50
15K
py3-croniter-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-himitsu-0.0.9-r0.apk
2025-08-25 10:56
5.8K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 10:56
7.5K
wl-screenrec-0.1.7-r1.apk
2025-08-27 16:21
563K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-27 16:21
2.4K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-27 16:21
9.5K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-27 16:21
3.2K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-27 16:21
3.7K
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 01:20
8.6K
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 01:20
3.9K
nwg-displays-0.3.26-r0.apk
2025-08-28 07:39
27K
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 07:39
36K
py3-enlighten-1.14.1-r0.apk
2025-08-28 09:31
37K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 09:31
46K
py3-prefixed-0.9.0-r0.apk
2025-08-28 09:31
14K
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 09:31
8.6K
glslviewer-3.2.4-r2.apk
2025-08-28 17:43
1.9M
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 21:09
76K
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 21:09
1.7K
dum-0.1.20-r1.apk
2025-08-29 08:51
358K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 09:32
140K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 01:39
13K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 01:39
13K
perl-cgi-simple-1.282-r0.apk
2025-08-30 04:17
56K
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 04:17
43K
xfsdump-3.2.0-r0.apk
2025-08-30 20:48
392K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 20:48
42K
libsirocco-2.1.1-r0.apk
2025-08-31 17:16
63K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 17:16
2.2K
toml2json-1.3.2-r0.apk
2025-09-01 13:13
362K
toml2json-doc-1.3.2-r0.apk
2025-09-01 13:13
3.7K
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 13:16
1.3M
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 13:16
5.6K
perl-io-interactive-1.027-r0.apk
2025-09-01 13:16
5.3K
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 13:16
5.2K
py3-queuelib-1.8.0-r0.apk
2025-09-01 18:13
12K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 18:13
24K
py3-dnslib-0.9.26-r0.apk
2025-09-01 18:15
56K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 18:15
109K
authenticator-rs-0.8.6-r0.apk
2025-09-01 18:25
2.3M
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 18:25
4.1K
elementary-camera-8.0.2-r0.apk
2025-09-02 02:25
88K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 02:25
35K
elementary-videos-8.0.2-r0.apk
2025-09-02 02:28
120K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 02:28
83K
elementary-calculator-8.0.1-r0.apk
2025-09-02 02:29
73K
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 02:29
59K
amdgpu_top-0.11.0-r0.apk
2025-09-03 00:48
7.0M
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 00:48
4.0K
malcontent-0.13.1-r0.apk
2025-09-03 18:41
163K
malcontent-dev-0.13.1-r0.apk
2025-09-03 18:41
24K
malcontent-doc-0.13.1-r0.apk
2025-09-03 18:41
45K
libqtdbustest-0.4.0-r0.apk
2025-09-03 19:34
32K
aide-0.19.2-r0.apk
2025-09-05 06:54
90K
aide-doc-0.19.2-r0.apk
2025-09-05 06:54
16K
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-05 06:54
4.9M
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-05 06:54
2.0K
exabgp-4.2.24-r1.apk
2025-09-05 06:55
385K
exabgp-doc-4.2.24-r1.apk
2025-09-05 06:55
8.1K
exabgp-openrc-4.2.24-r1.apk
2025-09-05 06:55
2.2K
exabgp-pyc-4.2.24-r1.apk
2025-09-05 06:55
778K
gearman-dev-1.1.22-r0.apk
2025-09-05 06:55
1.1M
gearman-libs-1.1.22-r0.apk
2025-09-05 06:55
79K
gearmand-1.1.22-r0.apk
2025-09-05 06:55
173K
gearmand-doc-1.1.22-r0.apk
2025-09-05 06:55
189K
gearmand-openrc-1.1.22-r0.apk
2025-09-05 06:55
1.8K
go-away-0.7.0-r1.apk
2025-09-05 06:55
8.2M
go-away-openrc-0.7.0-r1.apk
2025-09-05 06:55
2.3K
lshell-0.9.18-r12.apk
2025-09-05 06:55
36K
lshell-doc-0.9.18-r12.apk
2025-09-05 06:55
25K
lshell-pyc-0.9.18-r12.apk
2025-09-05 06:55
35K
perl-cpan-audit-20250829.001-r0.apk
2025-09-05 06:55
14K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-05 06:55
12K
perl-module-extract-version-1.119-r0.apk
2025-09-05 06:55
3.3K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-05 06:55
3.5K
rust-script-0.36.0-r0.apk
2025-09-05 06:55
968K
tuptime-5.2.4-r2.apk
2025-09-05 06:56
14K
tuptime-doc-5.2.4-r2.apk
2025-09-05 06:56
3.8K
tuptime-openrc-5.2.4-r2.apk
2025-09-05 06:56
1.7K
ckb-next-0.6.2-r1.apk
2025-09-05 11:58
1.4M
ckb-next-daemon-0.6.2-r1.apk
2025-09-05 11:58
78K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-05 11:58
1.8K
ckb-next-dev-0.6.2-r1.apk
2025-09-05 11:58
4.9K
py3-flask-socketio-5.5.1-r0.apk
2025-09-05 23:29
18K
py3-flask-socketio-doc-5.5.1-r0.apk
2025-09-05 23:29
23K
py3-flask-socketio-pyc-5.5.1-r0.apk
2025-09-05 23:29
26K
py3-simple-websocket-1.1.0-r0.apk
2025-09-05 23:29
11K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-05 23:29
2.3K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-05 23:29
23K
py3-socketio-5.13.0-r0.apk
2025-09-05 23:29
62K
py3-socketio-doc-5.13.0-r0.apk
2025-09-05 23:29
36K
py3-socketio-pyc-5.13.0-r0.apk
2025-09-05 23:29
127K
femto-2.24.1-r0.apk
2025-09-06 08:34
72K
femto-doc-2.24.1-r0.apk
2025-09-06 08:34
48K
apulse-0.1.14-r0.apk
2025-09-06 12:02
44K
apulse-doc-0.1.14-r0.apk
2025-09-06 12:02
2.9K
lomiri-indicator-network-1.1.2-r0.apk
2025-09-08 01:00
623K
lomiri-indicator-network-dev-1.1.2-r0.apk
2025-09-08 01:00
9.5K
lomiri-indicator-network-doc-1.1.2-r0.apk
2025-09-08 01:00
2.1K
lomiri-indicator-network-lang-1.1.2-r0.apk
2025-09-08 01:00
199K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-08 01:00
46K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-08 01:00
18K
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-08 01:00
3.2K
php81-pecl-zstd-0.15.2-r0.apk
2025-09-09 18:43
18K
ostui-1.0.4-r0.apk
2025-09-11 02:50
4.9M
ostui-doc-1.0.4-r0.apk
2025-09-11 02:50
28K
git-quick-stats-2.8.0-r0.apk
2025-09-11 08:19
15K
git-quick-stats-doc-2.8.0-r0.apk
2025-09-11 08:19
3.5K
nm-tray-0.5.1-r0.apk
2025-09-11 17:35
106K
nm-tray-lang-0.5.1-r0.apk
2025-09-11 17:35
27K
perl-snmp-info-3.974000-r0.apk
2025-09-12 04:50
337K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-12 04:50
390K
litterbox-1.9-r2.apk
2025-09-12 22:22
36K
litterbox-doc-1.9-r2.apk
2025-09-12 22:22
7.2K
pounce-3.1-r4.apk
2025-09-12 22:22
31K
pounce-doc-3.1-r4.apk
2025-09-12 22:22
8.5K
pounce-openrc-3.1-r4.apk
2025-09-12 22:22
2.7K
sacc-1.07-r1.apk
2025-09-12 22:22
16K
sacc-doc-1.07-r1.apk
2025-09-12 22:22
2.9K
tcpbench-3.00-r1.apk
2025-09-12 22:22
13K
tcpbench-doc-3.00-r1.apk
2025-09-12 22:22
5.3K
waynergy-0.0.17-r1.apk
2025-09-12 22:22
50K
ptouch-print-1.7-r0.apk
2025-09-13 13:00
29K
ptouch-print-doc-1.7-r0.apk
2025-09-13 13:00
3.1K
reap-0.2-r0.apk
2025-09-13 13:00
5.1K
reap-doc-0.2-r0.apk
2025-09-13 13:00
2.7K
py3-wikipedia-1.4.0-r0.apk
2025-09-14 02:03
12K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-14 02:03
4.1K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-14 02:03
16K
gtranslator-49.0-r0.apk
2025-09-15 07:46
148K
gtranslator-doc-49.0-r0.apk
2025-09-15 07:46
638K
gtranslator-lang-49.0-r0.apk
2025-09-15 07:46
593K
font-hanazono-20170904-r2.apk
2025-09-15 13:00
29M
visidata-3.3-r0.apk
2025-09-15 13:57
425K
visidata-doc-3.3-r0.apk
2025-09-15 13:57
18K
visidata-pyc-3.3-r0.apk
2025-09-15 13:57
846K
visidata-zsh-completion-3.3-r0.apk
2025-09-15 13:57
9.4K
unit-php81-1.35.0-r1.apk
2025-09-15 15:03
31K
py3-meshtastic-2.7.2-r0.apk
2025-09-16 13:46
540K
lutris-0.5.19-r1.apk
2025-09-16 18:09
819K
lutris-doc-0.5.19-r1.apk
2025-09-16 18:09
2.3K
lutris-lang-0.5.19-r1.apk
2025-09-16 18:09
810K
lutris-pyc-0.5.19-r1.apk
2025-09-16 18:09
1.1M
snapper-0.13.0-r0.apk
2025-09-16 18:45
1.0M
snapper-bash-completion-0.13.0-r0.apk
2025-09-16 18:45
3.4K
snapper-dev-0.13.0-r0.apk
2025-09-16 18:45
11K
snapper-doc-0.13.0-r0.apk
2025-09-16 18:45
25K
snapper-lang-0.13.0-r0.apk
2025-09-16 18:45
219K
snapper-zsh-completion-0.13.0-r0.apk
2025-09-16 18:45
3.6K
quodlibet-4.7.1-r0.apk
2025-09-16 18:53
1.0M
quodlibet-bash-completion-4.7.1-r0.apk
2025-09-16 18:53
4.5K
quodlibet-doc-4.7.1-r0.apk
2025-09-16 18:53
8.7K
quodlibet-lang-4.7.1-r0.apk
2025-09-16 18:53
1.4M
quodlibet-pyc-4.7.1-r0.apk
2025-09-16 18:53
1.8M
quodlibet-zsh-completion-4.7.1-r0.apk
2025-09-16 18:53
2.7K
mat2-0.13.5-r0.apk
2025-09-16 19:00
35K
mat2-doc-0.13.5-r0.apk
2025-09-16 19:00
7.7K
mat2-pyc-0.13.5-r0.apk
2025-09-16 19:00
54K
qtile-0.33.0-r0.apk
2025-09-17 07:54
469K
qtile-pyc-0.33.0-r0.apk
2025-09-17 07:54
858K
hiprompt-gtk-py-0.8.0-r1.apk
2025-09-17 10:03
8.0K
evolution-on-3.24.4-r1.apk
2025-09-18 17:39
11K
stam-0.12.2-r0.apk
2025-09-22 12:10
1.7M
eclipse-ecj-4.37-r0.apk
2025-09-22 13:05
2.5M
agate-3.3.19-r0.apk
2025-09-29 19:34
741K
agate-openrc-3.3.19-r0.apk
2025-09-29 19:34
2.0K
crispy-doom-7.1-r0.apk
2025-09-29 19:34
2.1M
crispy-doom-doc-7.1-r0.apk
2025-09-29 19:34
107K
droidcam-2.1.3-r3.apk
2025-09-29 19:34
20K
droidcam-gui-2.1.3-r3.apk
2025-09-29 19:34
30K
fceux-2.6.6-r4.apk
2025-09-29 19:34
3.0M
fceux-doc-2.6.6-r4.apk
2025-09-29 19:34
105K
ffms2-5.0-r2.apk
2025-09-29 19:34
73K
ffms2-dev-5.0-r2.apk
2025-09-29 19:34
7.5K
ffms2-doc-5.0-r2.apk
2025-09-29 19:34
30K
fileshelter-6.2.0-r4.apk
2025-09-29 19:34
323K
fileshelter-openrc-6.2.0-r4.apk
2025-09-29 19:34
1.7K
font-andika-six-6.210-r0.apk
2025-09-29 19:34
1.5M
hardinfo2-2.2.13-r0.apk
2025-09-29 19:34
3.3M
hardinfo2-doc-2.2.13-r0.apk
2025-09-29 19:34
3.0K
hardinfo2-lang-2.2.13-r0.apk
2025-09-29 19:34
292K
hardinfo2-openrc-2.2.13-r0.apk
2025-09-29 19:34
2.0K
libetebase-0.5.8-r0.apk
2025-09-29 19:34
817K
libetebase-dev-0.5.8-r0.apk
2025-09-29 19:34
11K
mdbook-alerts-0.8.0-r0.apk
2025-09-29 19:34
772K
motion-4.7.1-r0.apk
2025-09-29 19:34
146K
motion-doc-4.7.1-r0.apk
2025-09-29 19:34
140K
motion-lang-4.7.1-r0.apk
2025-09-29 19:34
471K
motion-openrc-4.7.1-r0.apk
2025-09-29 19:34
2.2K
musikcube-3.0.5-r0.apk
2025-09-29 19:34
2.3M
musikcube-dev-3.0.5-r0.apk
2025-09-29 19:34
19K
musikcube-plugin-all-3.0.5-r0.apk
2025-09-29 19:34
1.3K
musikcube-plugin-httpdatastream-3.0.5-r0.apk
2025-09-29 19:34
80K
musikcube-plugin-mpris-3.0.5-r0.apk
2025-09-29 19:34
22K
musikcube-plugin-openmpt-3.0.5-r0.apk
2025-09-29 19:34
30K
musikcube-plugin-server-3.0.5-r0.apk
2025-09-29 19:34
384K
musikcube-plugin-stockencoders-3.0.5-r0.apk
2025-09-29 19:34
20K
musikcube-plugin-supereqdsp-3.0.5-r0.apk
2025-09-29 19:34
31K
musikcube-plugin-taglibreader-3.0.5-r0.apk
2025-09-29 19:34
37K
nomadnet-0.8.0-r0.apk
2025-09-29 19:34
143K
nomadnet-pyc-0.8.0-r0.apk
2025-09-29 19:34
285K
oils-for-unix-0.35.0-r0.apk
2025-09-29 19:34
692K
oils-for-unix-bash-0.35.0-r0.apk
2025-09-29 19:34
1.7K
oils-for-unix-binsh-0.35.0-r0.apk
2025-09-29 19:34
1.5K
oils-for-unix-doc-0.35.0-r0.apk
2025-09-29 19:34
7.0K
pdf2svg-0.2.4-r0.apk
2025-09-29 19:34
4.8K
php81-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 19:34
65K
php82-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 19:34
65K
php85-pecl-vld-0.19.1-r1.apk
2025-09-29 19:34
18K
py3-confusable-homoglyphs-3.3.1-r0.apk
2025-09-29 19:34
137K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
2025-09-29 19:34
9.4K
py3-lxmf-0.8.0-r0.apk
2025-09-29 19:34
51K
py3-lxmf-pyc-0.8.0-r0.apk
2025-09-29 19:34
109K
py3-rns-1.0.0-r0.apk
2025-09-29 19:34
344K
py3-rns-pyc-1.0.0-r0.apk
2025-09-29 19:34
705K
reaction-2.2.1-r0.apk
2025-09-29 19:34
1.5M
reaction-openrc-2.2.1-r0.apk
2025-09-29 19:34
1.8K
reaction-tools-2.2.1-r0.apk
2025-09-29 19:34
5.2K
ruby-build-20250925-r0.apk
2025-09-29 19:34
93K
ruby-build-doc-20250925-r0.apk
2025-09-29 19:34
4.8K
ruby-build-runtime-20250925-r0.apk
2025-09-29 19:34
1.3K
xpar-0.7-r0.apk
2025-09-29 19:34
28K
xpar-doc-0.7-r0.apk
2025-09-29 19:34
4.3K
py3-latex2mathml-3.78.1-r1.apk
2025-09-29 19:35
72K
py3-latex2mathml-pyc-3.78.1-r1.apk
2025-09-29 19:35
35K
mml-1.0.0-r1.apk
2025-09-30 00:41
895K
mml-bash-completion-1.0.0-r1.apk
2025-09-30 00:41
2.3K
mml-doc-1.0.0-r1.apk
2025-09-30 00:41
3.8K
mml-fish-completion-1.0.0-r1.apk
2025-09-30 00:41
2.2K
mml-zsh-completion-1.0.0-r1.apk
2025-09-30 00:41
2.8K
way-displays-1.15.0-r0.apk
2025-09-30 09:47
113K
way-displays-doc-1.15.0-r0.apk
2025-09-30 09:47
4.6K
php81-pecl-mailparse-3.1.9-r0.apk
2025-09-30 12:39
25K
bacon-3.18.0-r0.apk
2025-09-30 17:39
1.9M
xmoto-0.6.3-r0.apk
2025-10-03 17:02
1.9M
xmoto-data-0.6.3-r0.apk
2025-10-03 17:02
37M
xmoto-doc-0.6.3-r0.apk
2025-10-03 17:02
5.9K
xmoto-lang-0.6.3-r0.apk
2025-10-03 17:02
532K
libcotp-3.1.1-r0.apk
2025-10-03 22:25
8.6K
libcotp-dev-3.1.1-r0.apk
2025-10-03 22:25
2.5K
cargo-generate-0.23.5-r0.apk
2025-10-04 13:15
2.4M
geoclue-stumbler-1.1-r0.apk
2025-10-04 17:03
44K
php81-pecl-opentelemetry-1.2.1-r0.apk
2025-10-04 23:15
14K
mimedefang-3.6-r1.apk
2025-10-06 01:15
159K
mimedefang-doc-3.6-r1.apk
2025-10-06 01:15
81K
heisenbridge-1.15.4-r0.apk
2025-10-06 05:07
67K
heisenbridge-pyc-1.15.4-r0.apk
2025-10-06 05:07
155K
tick-1.2.3-r0.apk
2025-10-06 06:06
11K
tick-doc-1.2.3-r0.apk
2025-10-06 06:06
5.5K
py3-irc-20.4.1-r1.apk
2025-10-06 06:09
40K
py3-irc-pyc-20.4.1-r1.apk
2025-10-06 06:09
70K
biboumi-9.0-r9.apk
2025-10-06 09:09
273K
biboumi-doc-9.0-r9.apk
2025-10-06 09:09
1.5K
biboumi-openrc-9.0-r9.apk
2025-10-06 09:09
1.9K
libemf2svg-1.1.0-r3.apk
2025-10-06 09:09
168K
libemf2svg-utils-1.1.0-r3.apk
2025-10-06 09:09
18K
pspp-2.0.1-r1.apk
2025-10-06 09:09
10M
pspp-dbg-2.0.1-r1.apk
2025-10-06 09:09
4.4M
pspp-doc-2.0.1-r1.apk
2025-10-06 09:09
9.0K
vile-9.8z_p1-r2.apk
2025-10-06 09:09
864K
vile-common-9.8z_p1-r2.apk
2025-10-06 09:09
355K
vile-doc-9.8z_p1-r2.apk
2025-10-06 09:09
357K
xvile-9.8z_p1-r2.apk
2025-10-06 09:09
893K
certbot-dns-hetzner-2.0.1-r1.apk
2025-10-06 12:00
10K
certbot-dns-hetzner-pyc-2.0.1-r1.apk
2025-10-06 12:00
6.5K
idevicerestore-1.0.0_git20250914-r0.apk
2025-10-06 17:36
102K
idevicerestore-doc-1.0.0_git20250914-r0.apk
2025-10-06 17:36
3.4K
libirecovery-1.3.0-r0.apk
2025-10-06 17:36
31K
libirecovery-dev-1.3.0-r0.apk
2025-10-06 17:36
4.2K
libirecovery-progs-1.3.0-r0.apk
2025-10-06 17:36
8.6K
gmcapsule-0.9.8-r0.apk
2025-10-07 09:40
36K
gmcapsule-openrc-0.9.8-r0.apk
2025-10-07 09:40
2.0K
gmcapsule-pyc-0.9.8-r0.apk
2025-10-07 09:40
61K
eiwd-3.10-r0.apk
2025-10-07 16:32
860K
eiwd-doc-3.10-r0.apk
2025-10-07 16:32
21K
eiwd-openrc-3.10-r0.apk
2025-10-07 16:32
1.9K
php81-pecl-mongodb-2.1.4-r0.apk
2025-10-08 20:44
857K
yaml-language-server-1.19.2-r0.apk
2025-10-08 21:53
666K
yaml-language-server-doc-1.19.2-r0.apk
2025-10-08 21:53
2.3K
tree-sitter-gleam-1.1.0-r0.apk
2025-10-08 23:22
68K
virtualgl-3.1.4-r0.apk
2025-10-08 23:52
1.8M
virtualgl-dev-3.1.4-r0.apk
2025-10-08 23:52
6.1K
virtualgl-doc-3.1.4-r0.apk
2025-10-08 23:52
315K
zpaq-7.15-r0.apk
2025-10-09 03:51
197K
zpaq-doc-7.15-r0.apk
2025-10-09 03:51
16K
kismet-0.202509.1-r0.apk
2025-10-09 04:12
13M
kismet-linux-bluetooth-0.202509.1-r0.apk
2025-10-09 04:12
50K
kismet-linux-wifi-0.202509.1-r0.apk
2025-10-09 04:12
69K
kismet-logtools-0.202509.1-r0.apk
2025-10-09 04:12
1.2M
kismet-nrf-51822-0.202509.1-r0.apk
2025-10-09 04:12
48K
kismet-nxp-kw41z-0.202509.1-r0.apk
2025-10-09 04:12
50K
cadence-0.9.2-r1.apk
2025-10-09 10:54
1.5M
scratch-1.4.0.7-r2.apk
2025-10-09 12:30
39M
scratch-doc-1.4.0.7-r2.apk
2025-10-09 12:30
2.6K
squeak-vm-4.10.2.2614-r2.apk
2025-10-09 12:30
646K
squeak-vm-doc-4.10.2.2614-r2.apk
2025-10-09 12:30
12K
php81-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
37K
php82-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
37K
php83-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
37K
php84-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
37K
php85-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
35K
cdogs-sdl-2.3.2-r0.apk
2025-10-09 18:46
28M
cargo-geiger-0.13.0-r0.apk
2025-10-10 17:32
5.5M
cargo-geiger-doc-0.13.0-r0.apk
2025-10-10 17:32
7.7K
py3-sphinx-autoapi-3.6.1-r0.apk
2025-10-11 10:59
31K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
2025-10-11 10:59
59K
gr-satellites-5.5.0-r6.apk
2025-10-12 14:17
526K
gr-satellites-dev-5.5.0-r6.apk
2025-10-12 14:17
13K
gr-satellites-doc-5.5.0-r6.apk
2025-10-12 14:17
4.5K
smplxmpp-0.9.3-r5.apk
2025-10-12 14:17
155K
smplxmpp-doc-0.9.3-r5.apk
2025-10-12 14:17
25K
apt-swarm-0.5.1-r1.apk
2025-10-12 14:31
3.0M
apt-swarm-bash-completion-0.5.1-r1.apk
2025-10-12 14:31
4.2K
apt-swarm-fish-completion-0.5.1-r1.apk
2025-10-12 14:31
5.7K
apt-swarm-openrc-0.5.1-r1.apk
2025-10-12 14:31
2.0K
apt-swarm-zsh-completion-0.5.1-r1.apk
2025-10-12 14:31
5.9K
oppa-1.1.0-r0.apk
2025-10-12 14:31
545K
py3-pytest-regtest-2.3.5-r0.apk
2025-10-12 14:31
16K
py3-pytest-regtest-pyc-2.3.5-r0.apk
2025-10-12 14:31
30K
interception-tools-0.6.8-r3.apk
2025-10-12 14:32
106K
interception-tools-openrc-0.6.8-r3.apk
2025-10-12 14:32
1.7K
vera++-1.3.0-r11.apk
2025-10-12 14:32
200K
ssh-studio-1.3.1-r0.apk
2025-10-12 20:36
202K
ssh-studio-lang-1.3.1-r0.apk
2025-10-12 20:36
1.9K
ssh-studio-pyc-1.3.1-r0.apk
2025-10-12 20:36
107K
bat-extras-2024.08.24-r0.apk
2025-10-12 20:47
5.3K
bat-extras-batdiff-2024.08.24-r0.apk
2025-10-12 20:47
5.4K
bat-extras-batgrep-2024.08.24-r0.apk
2025-10-12 20:47
7.4K
bat-extras-batman-2024.08.24-r0.apk
2025-10-12 20:47
4.7K
bat-extras-batpipe-2024.08.24-r0.apk
2025-10-12 20:47
7.0K
bat-extras-batwatch-2024.08.24-r0.apk
2025-10-12 20:47
5.8K
bat-extras-doc-2024.08.24-r0.apk
2025-10-12 20:47
16K
bat-extras-prettybat-2024.08.24-r0.apk
2025-10-12 20:47
5.6K
gtypist-2.10.1-r0.apk
2025-10-12 20:59
637K
gtypist-doc-2.10.1-r0.apk
2025-10-12 20:59
104K
gtypist-lang-2.10.1-r0.apk
2025-10-12 20:59
26K
py3-pdoc-15.0.4-r0.apk
2025-10-12 21:18
133K
py3-pdoc-pyc-15.0.4-r0.apk
2025-10-12 21:18
169K
vimv-3.1.0-r0.apk
2025-10-12 21:19
268K
vimv-doc-3.1.0-r0.apk
2025-10-12 21:19
2.0K
gl2ps-1.4.2-r0.apk
2025-10-12 21:31
38K
gl2ps-dev-1.4.2-r0.apk
2025-10-12 21:31
4.3K
gl2ps-doc-1.4.2-r0.apk
2025-10-12 21:31
233K
gl2ps-static-1.4.2-r0.apk
2025-10-12 21:31
44K
nautilus-python-4.0.1-r0.apk
2025-10-12 21:54
10K
nautilus-python-dev-4.0.1-r0.apk
2025-10-12 21:54
1.7K
nautilus-python-doc-4.0.1-r0.apk
2025-10-12 21:54
4.2K
cartero-0.2.2-r0.apk
2025-10-12 23:52
1.2M
cartero-lang-0.2.2-r0.apk
2025-10-12 23:52
45K
termusic-mpv-0.12.0-r0.apk
2025-10-13 01:33
9.9M
php81-pecl-memcached-3.4.0-r0.apk
2025-10-13 10:35
49K
networkmanager-dmenu-2.6.1-r1.apk
2025-10-13 16:21
14K
networkmanager-dmenu-doc-2.6.1-r1.apk
2025-10-13 16:21
6.8K
perl-clipboard-0.32-r1.apk
2025-10-13 16:21
10K
perl-clipboard-doc-0.32-r1.apk
2025-10-13 16:21
27K
roll-2.6.1-r1.apk
2025-10-13 16:21
13K
roll-bash-completion-2.6.1-r1.apk
2025-10-13 16:21
1.8K
roll-doc-2.6.1-r1.apk
2025-10-13 16:21
11K
portsmf-239-r2.apk
2025-10-13 20:02
59K
portsmf-dev-239-r2.apk
2025-10-13 20:02
20K
py3-fastavro-1.12.1-r0.apk
2025-10-14 01:58
447K
py3-fastavro-pyc-1.12.1-r0.apk
2025-10-14 01:58
82K
aggregate6-1.0.14-r0.apk
2025-10-14 11:05
7.3K
aggregate6-doc-1.0.14-r0.apk
2025-10-14 11:05
2.9K
aggregate6-pyc-1.0.14-r0.apk
2025-10-14 11:05
5.8K
android-apktool-2.12.1-r0.apk
2025-10-14 14:53
24M
homebank-5.9.5-r0.apk
2025-10-14 14:54
2.1M
homebank-lang-5.9.5-r0.apk
2025-10-14 14:54
942K
py3-unearth-0.18.0-r0.apk
2025-10-14 14:55
41K
py3-unearth-pyc-0.18.0-r0.apk
2025-10-14 14:55
82K
responder-3.1.7.0-r0.apk
2025-10-14 14:55
747K
py3-manuel-1.13.0-r1.apk
2025-10-14 15:05
39K
py3-manuel-pyc-1.13.0-r1.apk
2025-10-14 15:05
26K
py3-spotipy-2.24.0-r3.apk
2025-10-14 15:05
30K
py3-spotipy-pyc-2.24.0-r3.apk
2025-10-14 15:05
49K
py3-okonomiyaki-2.0.0-r1.apk
2025-10-14 18:13
7.9M
py3-okonomiyaki-pyc-2.0.0-r1.apk
2025-10-14 18:13
243K
bcg729-1.1.1-r1.apk
2025-10-15 08:21
34K
bcg729-dev-1.1.1-r1.apk
2025-10-15 08:21
3.6K
libtins-4.5-r2.apk
2025-10-15 08:22
318K
libtins-dev-4.5-r2.apk
2025-10-15 08:22
138K
libtins-doc-4.5-r2.apk
2025-10-15 08:22
2.3K
py3-hishel-0.1.4-r0.apk
2025-10-15 12:50
77K
py3-hishel-pyc-0.1.4-r0.apk
2025-10-15 12:50
144K
toybox-0.8.13-r0.apk
2025-10-16 10:30
261K
edit-1.2.1-r0.apk
2025-10-16 13:17
257K
edit-doc-1.2.1-r0.apk
2025-10-16 13:17
2.2K
budgie-control-center-1.4.0-r0.apk
2025-10-16 17:08
3.0M
budgie-control-center-bash-completion-1.4.0-r0.apk
2025-10-16 17:08
2.3K
budgie-control-center-lang-1.4.0-r0.apk
2025-10-16 17:08
3.9M
budgie-desktop-10.9.2-r0.apk
2025-10-16 17:08
1.4M
budgie-desktop-dev-10.9.2-r0.apk
2025-10-16 17:08
18K
budgie-desktop-doc-10.9.2-r0.apk
2025-10-16 17:08
5.7K
budgie-desktop-lang-10.9.2-r0.apk
2025-10-16 17:08
586K
budgie-screensaver-5.1.0-r0.apk
2025-10-16 17:08
79K
budgie-screensaver-doc-5.1.0-r0.apk
2025-10-16 17:08
3.3K
budgie-screensaver-lang-5.1.0-r0.apk
2025-10-16 17:08
240K
budgie-session-0.9.1-r0.apk
2025-10-16 17:08
119K
budgie-session-doc-0.9.1-r0.apk
2025-10-16 17:08
5.3K
budgie-session-lang-0.9.1-r0.apk
2025-10-16 17:08
307K
magpie-0.9.4-r0.apk
2025-10-16 17:08
1.9M
magpie-dev-0.9.4-r0.apk
2025-10-16 17:08
246K
magpie-lang-0.9.4-r0.apk
2025-10-16 17:08
849K
gsimplecal-2.5.2-r0.apk
2025-10-16 18:48
17K
gsimplecal-doc-2.5.2-r0.apk
2025-10-16 18:48
5.8K
py3-libacl-0.7.3-r0.apk
2025-10-16 21:59
27K
py3-vdf-3.4-r2.apk
2025-10-16 21:59
11K
py3-vdf-pyc-3.4-r2.apk
2025-10-16 21:59
17K
py3-msldap-0.5.15-r2.apk
2025-10-17 14:41
127K
py3-msldap-examples-0.5.15-r2.apk
2025-10-17 14:41
18K
py3-msldap-pyc-0.5.15-r2.apk
2025-10-17 14:41
330K
perl-freezethaw-0.5001-r3.apk
2025-10-18 10:32
9.8K
perl-freezethaw-doc-0.5001-r3.apk
2025-10-18 10:32
5.7K
mediastreamer2-5.3.112-r1.apk
2025-10-19 10:48
392K
mediastreamer2-dev-5.3.112-r1.apk
2025-10-19 10:48
110K
mediastreamer2-doc-5.3.112-r1.apk
2025-10-19 10:48
108K
fast-double-parser-0.8.1-r0.apk
2025-10-20 10:38
25K
himitsu-secret-service-0.1_git20250705-r1.apk
2025-10-20 11:24
16K
himitsu-secret-service-doc-0.1_git20250705-r1.apk
2025-10-20 11:24
4.0K
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
2025-10-20 11:24
29K
pure-1.23.0-r0.apk
2025-10-20 12:16
18K
pure-doc-1.23.0-r0.apk
2025-10-20 12:16
7.9K
openocd-esp32-0_git20250707-r2.apk
2025-10-20 19:45
2.1M
openocd-esp32-dev-0_git20250707-r2.apk
2025-10-20 19:45
3.7K
openocd-esp32-doc-0_git20250707-r2.apk
2025-10-20 19:45
3.2K
openocd-esp32-udev-0_git20250707-r2.apk
2025-10-20 19:45
3.5K
openocd-git-0_git20251018-r1.apk
2025-10-20 19:45
1.8M
openocd-git-cmd-openocd-0_git20251018-r1.apk
2025-10-20 19:45
1.6K
openocd-git-dbg-0_git20251018-r1.apk
2025-10-20 19:45
4.3M
openocd-git-dev-0_git20251018-r1.apk
2025-10-20 19:45
3.3K
openocd-git-doc-0_git20251018-r1.apk
2025-10-20 19:45
3.2K
openocd-git-udev-0_git20251018-r1.apk
2025-10-20 19:45
3.5K
ruby-crack-1.0.1-r0.apk
2025-10-21 04:14
2.3K
ruby-crack-doc-1.0.1-r0.apk
2025-10-21 04:14
2.3K
ruby-hashdiff-1.2.1-r0.apk
2025-10-21 04:18
9.0K
ruby-hashdiff-doc-1.2.1-r0.apk
2025-10-21 04:18
2.3K
pfetch-1.9.4-r0.apk
2025-10-21 15:00
23K
pfetch-doc-1.9.4-r0.apk
2025-10-21 15:00
5.7K
game-devices-udev-0.25-r0.apk
2025-10-23 05:04
6.9K
xed-3.8.4-r0.apk
2025-10-23 07:55
1.1M
xed-dev-3.8.4-r0.apk
2025-10-23 07:55
14K
xed-doc-3.8.4-r0.apk
2025-10-23 07:55
971K
xed-lang-3.8.4-r0.apk
2025-10-23 07:55
2.1M
xed-python-3.8.4-r0.apk
2025-10-23 07:55
24K
php82-pecl-ast-1.1.3-r0.apk
2025-10-24 02:09
22K
php82-pecl-decimal-1.5.0-r1.apk
2025-10-24 02:09
19K
php82-pecl-ds-1.6.0-r0.apk
2025-10-24 02:09
66K
php82-pecl-event-3.1.4-r0.apk
2025-10-24 02:09
55K
php82-pecl-luasandbox-4.1.2-r0.apk
2025-10-24 02:09
32K
php82-pecl-lzf-1.7.0-r0.apk
2025-10-24 02:09
7.6K
php82-pecl-mailparse-3.1.9-r0.apk
2025-10-24 02:09
25K
php82-pecl-mcrypt-1.0.9-r0.apk
2025-10-24 02:09
16K
php82-pecl-memcache-8.2-r2.apk
2025-10-24 02:09
45K
php82-pecl-memcached-3.4.0-r0.apk
2025-10-24 02:09
50K
php82-pecl-mongodb-2.1.4-r0.apk
2025-10-24 02:09
857K
php82-pecl-msgpack-3.0.0-r0.apk
2025-10-24 02:09
27K
php82-pecl-opentelemetry-1.2.1-r0.apk
2025-10-24 02:09
14K
php82-pecl-pcov-1.0.12-r0.apk
2025-10-24 02:09
10K
php82-pecl-psr-1.2.0-r1.apk
2025-10-24 02:09
19K
php82-pecl-rdkafka-6.0.5-r0.apk
2025-10-24 02:09
40K
php82-pecl-smbclient-1.2.0_pre-r0.apk
2025-10-24 02:09
23K
php82-pecl-ssh2-1.4.1-r0.apk
2025-10-24 02:09
30K
php82-pecl-timezonedb-2025.2-r0.apk
2025-10-24 02:09
194K
php82-pecl-uploadprogress-2.0.2-r2.apk
2025-10-24 02:09
6.8K
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
2025-10-24 02:09
9.6K
php82-pecl-uuid-1.3.0-r0.apk
2025-10-24 02:09
6.9K
php82-pecl-vips-1.0.13-r0.apk
2025-10-24 02:09
18K
php82-pecl-xhprof-2.3.10-r0.apk
2025-10-24 02:09
13K
php82-pecl-xhprof-assets-2.3.10-r0.apk
2025-10-24 02:09
800K
php82-pecl-xlswriter-1.5.8-r0.apk
2025-10-24 02:09
233K
php82-pecl-zstd-0.15.2-r0.apk
2025-10-24 02:09
18K
unit-php82-1.35.0-r0.apk
2025-10-24 02:09
31K
ruby-mail-2.9.0-r0.apk
2025-10-24 12:36
394K
serialdv-1.1.5-r0.apk
2025-10-24 20:26
6.5K
serialdv-dev-1.1.5-r0.apk
2025-10-24 20:26
5.3K
serialdv-libs-1.1.5-r0.apk
2025-10-24 20:26
69K
schismtracker-20251014-r0.apk
2025-10-24 23:51
476K
schismtracker-doc-20251014-r0.apk
2025-10-24 23:51
6.3K
pikchr-cmd-1.0.0-r0.apk
2025-10-25 09:18
47K
pikchr-cmd-doc-1.0.0-r0.apk
2025-10-25 09:18
2.2K
lua5.1-ubus-2025.10.17-r0.apk
2025-10-25 12:58
9.5K
lua5.2-ubus-2025.10.17-r0.apk
2025-10-25 12:58
9.5K
ubus-2025.10.17-r0.apk
2025-10-25 12:58
36K
ubus-dev-2025.10.17-r0.apk
2025-10-25 12:58
5.6K
py3-flake8-isort-7.0.0-r0.apk
2025-10-25 20:13
18K
py3-flake8-isort-pyc-7.0.0-r0.apk
2025-10-25 20:13
5.4K
lomiri-action-api-1.2.1-r0.apk
2025-10-25 20:19
84K
lomiri-action-api-dev-1.2.1-r0.apk
2025-10-25 20:19
5.0K
lomiri-api-0.2.3-r0.apk
2025-10-25 20:19
33K
lomiri-api-dev-0.2.3-r0.apk
2025-10-25 20:19
32K
lomiri-settings-components-1.1.3-r0.apk
2025-10-25 20:19
221K
lomiri-settings-components-lang-1.1.3-r0.apk
2025-10-25 20:19
108K
wl-clip-persist-0.5.0-r0.apk
2025-10-26 12:29
1.0M
dooit-3.3.3-r0.apk
2025-10-27 08:29
46K
dooit-pyc-3.3.3-r0.apk
2025-10-27 08:29
103K
py3-textual-5.3.0-r0.apk
2025-10-27 08:29
612K
py3-textual-pyc-5.3.0-r0.apk
2025-10-27 08:29
1.2M
py3-uc-micro-py-1.0.3-r0.apk
2025-10-27 08:29
6.5K
py3-uc-micro-py-pyc-1.0.3-r0.apk
2025-10-27 08:29
4.6K
redlib-0.36.0-r0.apk
2025-10-27 08:29
2.9M
ruri-3.9.3-r0.apk
2025-10-27 08:29
138K
ruri-doc-3.9.3-r0.apk
2025-10-27 08:29
2.2K
xtl-0.8.1-r0.apk
2025-10-27 13:42
90K
py3-igraph-1.0.0-r0.apk
2025-10-28 02:32
427K
py3-igraph-dev-1.0.0-r0.apk
2025-10-28 02:32
2.6K
py3-igraph-pyc-1.0.0-r0.apk
2025-10-28 02:32
374K
rankwidth-0.9-r4.apk
2025-10-28 02:32
5.9K
rankwidth-dev-0.9-r4.apk
2025-10-28 02:32
2.9K
rankwidth-doc-0.9-r4.apk
2025-10-28 02:32
2.9K
rankwidth-libs-0.9-r4.apk
2025-10-28 02:32
5.2K
rankwidth-static-0.9-r4.apk
2025-10-28 02:32
4.7K
tealdeer-1.8.0-r0.apk
2025-10-28 02:42
845K
tealdeer-bash-completion-1.8.0-r0.apk
2025-10-28 02:42
2.0K
tealdeer-fish-completion-1.8.0-r0.apk
2025-10-28 02:42
2.3K
tealdeer-zsh-completion-1.8.0-r0.apk
2025-10-28 02:42
2.4K
tailspin-5.5.0-r0.apk
2025-10-28 06:57
1.2M
tailspin-bash-completion-5.5.0-r0.apk
2025-10-28 06:57
2.2K
tailspin-doc-5.5.0-r0.apk
2025-10-28 06:57
3.0K
tailspin-fish-completion-5.5.0-r0.apk
2025-10-28 06:57
2.1K
tailspin-zsh-completion-5.5.0-r0.apk
2025-10-28 06:57
2.5K
cargo-update-18.0.0-r0.apk
2025-10-28 07:24
1.2M
cargo-update-doc-18.0.0-r0.apk
2025-10-28 07:24
8.5K
gprbuild-25.0.0-r0.apk
2025-10-29 02:54
13M
i3bar-river-1.1.0-r1.apk
2025-10-29 22:51
525K
i3bar-river-openrc-1.1.0-r1.apk
2025-10-29 22:51
1.7K
i3bar-river-systemd-1.1.0-r1.apk
2025-10-29 22:51
1.9K
doasedit-1.0.9-r0.apk
2025-10-31 12:29
3.5K
xonsh-0.19.9-r0.apk
2025-10-31 12:29
589K
xonsh-pyc-0.19.9-r0.apk
2025-10-31 12:29
1.0M
snapraid-13.0-r0.apk
2025-10-31 21:32
282K
snapraid-doc-13.0-r0.apk
2025-10-31 21:32
19K
pypy-7.3.19-r0.apk
2025-11-01 15:00
21M
pypy-bootstrap-7.3.19-r0.apk
2025-11-01 15:00
22M
pypy-dev-7.3.19-r0.apk
2025-11-01 15:00
75K
pypy-tkinter-7.3.19-r0.apk
2025-11-01 15:00
448K
pypy3-7.3.19-r0.apk
2025-11-01 15:00
20M
pypy3-dev-7.3.19-r0.apk
2025-11-01 15:00
582K
pypy3-pyc-7.3.19-r0.apk
2025-11-01 15:00
5.8M
pypy3-tests-7.3.19-r0.apk
2025-11-01 15:00
13M
pypy3-tkinter-7.3.19-r0.apk
2025-11-01 15:00
301K
wbg-1.3.0-r1.apk
2025-11-01 15:35
43K
syncwhen-0.3-r0.apk
2025-11-01 17:27
5.3K
syncwhen-systemd-0.3-r0.apk
2025-11-01 17:27
1.8K
reprotest-0.7.32-r0.apk
2025-11-02 14:25
80K
reprotest-pyc-0.7.32-r0.apk
2025-11-02 14:25
103K
ovos-core-2.1.0-r0.apk
2025-11-02 14:52
49K
ovos-core-pyc-2.1.0-r0.apk
2025-11-02 14:52
58K
wiki-tui-0.9.1-r0.apk
2025-11-02 18:03
2.3M
wiki-tui-doc-0.9.1-r0.apk
2025-11-02 18:03
4.5K
cocogitto-6.5.0-r0.apk
2025-11-02 18:20
2.0M
cocogitto-bash-completion-6.5.0-r0.apk
2025-11-02 18:20
3.2K
cocogitto-doc-6.5.0-r0.apk
2025-11-02 18:20
40K
cocogitto-fish-completion-6.5.0-r0.apk
2025-11-02 18:20
3.8K
cocogitto-zsh-completion-6.5.0-r0.apk
2025-11-02 18:20
3.2K
py3-owslib-0.35.0-r0.apk
2025-11-02 19:15
195K
py3-owslib-pyc-0.35.0-r0.apk
2025-11-02 19:15
425K
libabigail-2.8-r0.apk
2025-11-02 19:52
1.0M
libabigail-bash-completion-2.8-r0.apk
2025-11-02 19:52
2.8K
libabigail-dev-2.8-r0.apk
2025-11-02 19:52
1.5M
libabigail-doc-2.8-r0.apk
2025-11-02 19:52
77K
libabigail-tools-2.8-r0.apk
2025-11-02 19:52
124K
gede-2.22.1-r0.apk
2025-11-02 20:48
422K
tinygltf-2.9.7-r0.apk
2025-11-02 20:50
142K
tinygltf-dev-2.9.7-r0.apk
2025-11-02 20:50
57K
py3-aesedb-0.1.8-r0.apk
2025-11-03 06:50
34K
py3-aesedb-examples-0.1.8-r0.apk
2025-11-03 06:50
3.4K
py3-aesedb-pyc-0.1.8-r0.apk
2025-11-03 06:50
75K
py3-aiosmb-0.4.14-r0.apk
2025-11-03 06:50
585K
py3-aiosmb-examples-0.4.14-r0.apk
2025-11-03 06:50
38K
py3-aiosmb-pyc-0.4.14-r0.apk
2025-11-03 06:50
1.2M
py3-aiowinreg-0.0.13-r0.apk
2025-11-03 06:50
22K
py3-aiowinreg-pyc-0.0.13-r0.apk
2025-11-03 06:50
44K
py3-asyauth-0.0.23-r0.apk
2025-11-03 06:50
84K
py3-asyauth-pyc-0.0.23-r0.apk
2025-11-03 06:50
186K
py3-asysocks-0.2.18-r0.apk
2025-11-03 06:50
88K
py3-asysocks-examples-0.2.18-r0.apk
2025-11-03 06:50
36K
py3-asysocks-pyc-0.2.18-r0.apk
2025-11-03 06:50
320K
py3-minikerberos-0.4.9-r0.apk
2025-11-03 06:50
123K
py3-minikerberos-examples-0.4.9-r0.apk
2025-11-03 06:50
17K
py3-minikerberos-pyc-0.4.9-r0.apk
2025-11-03 06:50
287K
py3-unicrypto-0.0.12-r0.apk
2025-11-03 06:50
59K
py3-unicrypto-pyc-0.0.12-r0.apk
2025-11-03 06:50
92K
py3-unidns-0.0.4-r0.apk
2025-11-03 06:50
14K
py3-unidns-examples-0.0.4-r0.apk
2025-11-03 06:50
2.6K
py3-unidns-pyc-0.0.4-r0.apk
2025-11-03 06:50
25K
postgresql-pg_variables-1.2.5_git20230922-r1.apk
2025-11-03 16:12
23K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
2025-11-03 16:12
46K
flare-engine-1.14-r1.apk
2025-11-05 11:39
4.5M
flare-engine-doc-1.14-r1.apk
2025-11-05 11:39
2.5K
lspmux-0.3.0-r0.apk
2025-11-05 14:24
1.1M
lspmux-doc-0.3.0-r0.apk
2025-11-05 14:24
6.6K
makedumpfile-1.7.8-r0.apk
2025-11-05 14:25
168K
makedumpfile-doc-1.7.8-r0.apk
2025-11-05 14:25
24K
makedumpfile-openrc-1.7.8-r0.apk
2025-11-05 14:25
3.1K
mimalloc1-1.9.4-r0.apk
2025-11-05 14:29
85K
mimalloc1-debug-1.9.4-r0.apk
2025-11-05 14:29
210K
mimalloc1-dev-1.9.4-r0.apk
2025-11-05 14:29
520K
mimalloc1-insecure-1.9.4-r0.apk
2025-11-05 14:29
79K
wayfarer-1.4.0-r0.apk
2025-11-05 18:52
66K
php81-pecl-redis-6.3.0-r0.apk
2025-11-07 16:49
203K
php82-pecl-redis-6.3.0-r0.apk
2025-11-07 16:49
205K
gcli-2.9.1-r0.apk
2025-11-09 16:40
132K
gcli-doc-2.9.1-r0.apk
2025-11-09 16:40
38K
py3-mistune1-0.8.4-r6.apk
2025-11-09 18:52
14K
py3-mistune1-pyc-0.8.4-r6.apk
2025-11-09 18:52
21K
py3-flask-security-5.6.2-r0.apk
2025-11-10 03:17
297K
py3-flask-security-pyc-5.6.2-r0.apk
2025-11-10 03:17
227K
py3-minio-7.2.18-r0.apk
2025-11-10 03:18
75K
py3-minio-pyc-7.2.18-r0.apk
2025-11-10 03:18
155K
pympress-1.8.6-r0.apk
2025-11-10 05:36
180K
pympress-doc-1.8.6-r0.apk
2025-11-10 05:36
75K
pympress-lang-1.8.6-r0.apk
2025-11-10 05:36
59K
pympress-pyc-1.8.6-r0.apk
2025-11-10 05:36
182K
py3-ciso8601-2.3.3-r0.apk
2025-11-10 17:32
17K
py3-cython-test-exception-raiser-25.11.0-r0.apk
2025-11-11 19:23
19K
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
2025-11-11 19:23
1.8K
perl-badger-0.16-r1.apk
2025-11-11 23:14
253K
perl-badger-doc-0.16-r1.apk
2025-11-11 23:14
263K
update-sysfs-2.1.1_p7-r0.apk
2025-11-12 10:45
3.1K
onionshare-2.6.3-r1.apk
2025-11-14 18:02
180K
onionshare-desktop-2.6.3-r1.apk
2025-11-14 18:02
1.3M
onionshare-pyc-2.6.3-r1.apk
2025-11-14 18:02
240K
php81-pecl-yaml-2.3.0-r0.apk
2025-11-14 18:02
19K
php82-pecl-yaml-2.3.0-r0.apk
2025-11-14 18:02
20K
davmail-6.5.1-r0.apk
2025-11-14 21:17
8.3M
libvalkey-0.2.1-r0.apk
2025-11-17 11:56
63K
libvalkey-dev-0.2.1-r0.apk
2025-11-17 11:56
327K
libvalkey-tls-0.2.1-r0.apk
2025-11-17 11:56
6.7K
3proxy-0.9.5-r1.apk
2025-11-17 12:54
407K
3proxy-doc-0.9.5-r1.apk
2025-11-17 12:54
26K
3proxy-openrc-0.9.5-r1.apk
2025-11-17 12:54
1.7K
3proxy-systemd-0.9.5-r1.apk
2025-11-17 12:54
1.8K
bootchart2-0.14.9-r1.apk
2025-11-17 12:54
137K
bootchart2-systemd-0.14.9-r1.apk
2025-11-17 12:54
2.2K
lomiri-indicator-location-25.4.22-r1.apk
2025-11-17 12:54
23K
lomiri-indicator-location-lang-25.4.22-r1.apk
2025-11-17 12:54
27K
lomiri-indicator-location-systemd-25.4.22-r1.apk
2025-11-17 12:54
1.8K
mediascanner2-0.118-r4.apk
2025-11-17 12:54
285K
mediascanner2-systemd-0.118-r4.apk
2025-11-17 12:54
1.8K
pam-pkcs11-0.6.13-r1.apk
2025-11-17 12:54
268K
pam-pkcs11-doc-0.6.13-r1.apk
2025-11-17 12:54
14K
pam-pkcs11-systemd-0.6.13-r1.apk
2025-11-17 12:54
1.8K
nzbget-25.4-r0.apk
2025-11-17 14:41
4.9M
nzbget-openrc-25.4-r0.apk
2025-11-17 14:41
2.1K
gtimelog-0.12_git20251114-r0.apk
2025-11-18 20:53
219K
gtimelog-pyc-0.12_git20251114-r0.apk
2025-11-18 20:53
102K
phpactor-2025.10.17.0-r0.apk
2025-11-19 03:57
3.6M
qt5ct-1.9-r0.apk
2025-11-19 04:38
233K
qt5ct-dev-1.9-r0.apk
2025-11-19 04:38
1.5K
pdfcrack-0.21-r0.apk
2025-11-19 08:08
43K
py3-telegram-bot-22.5-r0.apk
2025-11-19 18:30
497K
py3-telegram-bot-pyc-22.5-r0.apk
2025-11-19 18:30
802K
lutgen-1.0.1-r0.apk
2025-11-19 18:44
1.9M
lutgen-bash-completion-1.0.1-r0.apk
2025-11-19 18:44
1.7K
lutgen-doc-1.0.1-r0.apk
2025-11-19 18:44
4.5K
lutgen-fish-completion-1.0.1-r0.apk
2025-11-19 18:44
1.8K
lutgen-zsh-completion-1.0.1-r0.apk
2025-11-19 18:44
1.7K
py3-sssd-2.11.1-r2.apk
2025-11-20 07:32
61K
py3-sssd-pyc-2.11.1-r2.apk
2025-11-20 07:32
49K
sssd-2.11.1-r2.apk
2025-11-20 07:32
2.1M
sssd-dev-2.11.1-r2.apk
2025-11-20 07:32
15K
sssd-openrc-2.11.1-r2.apk
2025-11-20 07:32
1.7K
lxqt-wayland-session-0.3.0-r0.apk
2025-11-20 11:16
339K
lxqt-wayland-session-doc-0.3.0-r0.apk
2025-11-20 11:16
29K
volatility3-2.26.2-r0.apk
2025-11-20 12:56
1.0M
volatility3-pyc-2.26.2-r0.apk
2025-11-20 12:56
1.3M
perl-net-patricia-1.24-r0.apk
2025-11-20 15:48
21K
perl-net-patricia-doc-1.24-r0.apk
2025-11-20 15:48
6.3K
perl-net-amqp-rabbitmq-2.40014-r1.apk
2025-11-20 23:48
84K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
2025-11-20 23:48
11K
php81-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 00:43
8.5K
php82-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 00:43
8.5K
ruby-minitest-server-1.0.9-r0.apk
2025-11-21 16:33
5.4K
hyfetch-2.0.5-r0.apk
2025-11-21 17:33
891K
hyfetch-bash-completion-2.0.5-r0.apk
2025-11-21 17:33
3.3K
hyfetch-doc-2.0.5-r0.apk
2025-11-21 17:33
20K
hyfetch-zsh-completion-2.0.5-r0.apk
2025-11-21 17:33
2.6K
opentelemetry-cpp-1.24.0-r0.apk
2025-11-22 13:34
653K
opentelemetry-cpp-dev-1.24.0-r0.apk
2025-11-22 13:34
521K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
2025-11-22 13:34
48K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
2025-11-22 13:34
62K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
2025-11-22 13:34
92K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
2025-11-22 13:34
52K
elementary-feedback-8.1.0-r0.apk
2025-11-22 15:38
48K
elementary-feedback-lang-8.1.0-r0.apk
2025-11-22 15:38
48K
codec2-1.2.0-r1.apk
2025-11-22 18:51
677K
codec2-dev-1.2.0-r1.apk
2025-11-22 18:51
15K
py3-dbus-fast-3.1.2-r0.apk
2025-11-23 21:15
874K
py3-dbus-fast-doc-3.1.2-r0.apk
2025-11-23 21:15
6.2K
py3-dbus-fast-pyc-3.1.2-r0.apk
2025-11-23 21:15
130K
py3-knowit-0.5.11-r0.apk
2025-11-23 23:10
32K
py3-knowit-pyc-0.5.11-r0.apk
2025-11-23 23:10
62K
py3-trakit-0.2.5-r0.apk
2025-11-23 23:10
19K
py3-trakit-pyc-0.2.5-r0.apk
2025-11-23 23:10
17K
subliminal-2.4.0-r0.apk
2025-11-23 23:10
90K
subliminal-pyc-2.4.0-r0.apk
2025-11-23 23:10
179K
tintin-2.02.51-r0.apk
2025-11-24 12:41
1.9M
xfce4-panel-profiles-1.1.1-r1.apk
2025-11-24 12:53
58K
xfce4-panel-profiles-doc-1.1.1-r1.apk
2025-11-24 12:53
20K
xfce4-panel-profiles-lang-1.1.1-r1.apk
2025-11-24 12:53
53K
guake-3.10.1-r0.apk
2025-11-24 18:08
304K
guake-lang-3.10.1-r0.apk
2025-11-24 18:08
194K
guake-pyc-3.10.1-r0.apk
2025-11-24 18:08
186K
php84-pecl-solr-2.9.1-r0.apk
2025-11-25 05:10
95K
php85-pecl-solr-2.9.1-r0.apk
2025-11-25 05:10
95K
qsynth-1.0.3-r0.apk
2025-11-25 11:02
453K
qsynth-doc-1.0.3-r0.apk
2025-11-25 11:02
4.4K
primecount-7.20-r0.apk
2025-11-25 19:21
31K
primecount-dev-7.20-r0.apk
2025-11-25 19:21
2.1M
primecount-doc-7.20-r0.apk
2025-11-25 19:21
4.2K
primecount-libs-7.20-r0.apk
2025-11-25 19:21
179K
primesieve-12.10-r0.apk
2025-11-25 19:21
45K
primesieve-dev-12.10-r0.apk
2025-11-25 19:21
1.4M
primesieve-doc-12.10-r0.apk
2025-11-25 19:21
4.1K
primesieve-libs-12.10-r0.apk
2025-11-25 19:21
125K
libeantic-2.1.0-r2.apk
2025-11-26 08:27
81K
libeantic-dev-2.1.0-r2.apk
2025-11-26 08:27
18K
normaliz-3.10.4-r3.apk
2025-11-26 08:27
45K
normaliz-dev-3.10.4-r3.apk
2025-11-26 08:27
73K
normaliz-libs-3.10.4-r3.apk
2025-11-26 08:27
3.1M
py3-engineio-4.12.3-r0.apk
2025-11-27 09:36
49K
py3-engineio-doc-4.12.3-r0.apk
2025-11-27 09:36
33K
py3-engineio-pyc-4.12.3-r0.apk
2025-11-27 09:36
104K
xdg-native-messaging-proxy-0.1.0-r0.apk
2025-11-27 14:19
24K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
2025-11-27 14:19
1.8K
py3-markdownify-1.2.2-r0.apk
2025-11-27 16:01
16K
py3-markdownify-pyc-1.2.2-r0.apk
2025-11-27 16:01
18K
php81-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:26
33K
php82-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:26
34K
php81-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:05
123K
php81-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:05
2.3K
php82-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:05
124K
php82-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:05
2.3K
nwg-panel-0.10.13-r0.apk
2025-11-28 13:29
288K
nwg-panel-doc-0.10.13-r0.apk
2025-11-28 13:29
4.4K
nwg-panel-pyc-0.10.13-r0.apk
2025-11-28 13:29
270K
featherpad-1.6.2-r0.apk
2025-11-28 19:38
780K
featherpad-lang-1.6.2-r0.apk
2025-11-28 19:38
485K
wlroots0.18-0.18.3-r0.apk
2025-11-28 23:54
412K
wlroots0.18-dbg-0.18.3-r0.apk
2025-11-28 23:54
1.5M
wlroots0.18-dev-0.18.3-r0.apk
2025-11-28 23:54
83K
wlroots0.18-static-0.18.3-r0.apk
2025-11-28 23:54
8.3M
compiz-0.9.14.2-r13.apk
2025-11-29 00:02
6.3M
compiz-dev-0.9.14.2-r13.apk
2025-11-29 00:02
117K
compiz-lang-0.9.14.2-r13.apk
2025-11-29 00:02
1.2M
compiz-pyc-0.9.14.2-r13.apk
2025-11-29 00:02
111K
compiz-utils-0.9.14.2-r13.apk
2025-11-29 00:02
3.3K
py3-flask-httpauth-4.8.0-r3.apk
2025-11-29 11:38
7.8K
py3-flask-httpauth-pyc-4.8.0-r3.apk
2025-11-29 11:38
11K
catppuccin-whiskers-2.5.1-r0.apk
2025-11-30 20:12
1.8M
catppuccin-whiskers-doc-2.5.1-r0.apk
2025-11-30 20:12
2.3K
qtox-1.18.3-r0.apk
2025-11-30 20:12
5.1M
hatch-1.16.1-r0.apk
2025-11-30 20:26
115K
hatch-pyc-1.16.1-r0.apk
2025-11-30 20:26
246K
php81-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:45
16K
php82-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:45
16K
mint-x-icons-1.7.5-r0.apk
2025-12-01 06:05
23M
mint-x-icons-doc-1.7.5-r0.apk
2025-12-01 06:05
7.9K
wlr-sunclock-1.2.1-r0.apk
2025-12-01 14:08
46K
tomcat9-9.0.112-r0.apk
2025-12-01 20:50
6.8M
tomcat9-admin-9.0.112-r0.apk
2025-12-01 20:50
127K
tomcat9-doc-9.0.112-r0.apk
2025-12-01 20:50
1.7M
tomcat9-examples-9.0.112-r0.apk
2025-12-01 20:50
439K
tomcat9-openrc-9.0.112-r0.apk
2025-12-01 20:50
4.6K
py3-zope-configuration-7.0-r0.apk
2025-12-02 03:18
39K
py3-zope-configuration-pyc-7.0-r0.apk
2025-12-02 03:18
49K
perl-sys-virt-11.10.0-r0.apk
2025-12-03 16:16
226K
perl-sys-virt-doc-11.10.0-r0.apk
2025-12-03 16:16
106K
copyq-13.0.0-r1.apk
2025-12-04 22:37
2.7M
copyq-bash-completion-13.0.0-r1.apk
2025-12-04 22:37
2.3K
copyq-doc-13.0.0-r1.apk
2025-12-04 22:37
3.5K
dropwatch-1.5.5-r2.apk
2025-12-04 22:37
16K
dropwatch-doc-1.5.5-r2.apk
2025-12-04 22:37
3.7K
mapserver-8.4.1-r1.apk
2025-12-04 22:38
1.4M
mapserver-dev-8.4.1-r1.apk
2025-12-04 22:38
540K
py3-iso639-lang-2.6.3-r0.apk
2025-12-04 22:38
311K
py3-iso639-lang-pyc-2.6.3-r0.apk
2025-12-04 22:38
12K
py3-joserfc-1.5.0-r0.apk
2025-12-04 22:38
54K
py3-joserfc-pyc-1.5.0-r0.apk
2025-12-04 22:38
110K
qt6ct-0.11-r2.apk
2025-12-04 22:38
198K
spiped-1.6.4-r0.apk
2025-12-04 22:38
77K
supersonik-0.1.0-r3.apk
2025-12-04 22:38
1.1M
php81-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:56
161K
php82-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:56
161K
nwipe-0.39-r0.apk
2025-12-05 02:01
272K
nwipe-doc-0.39-r0.apk
2025-12-05 02:01
3.8K
hurl-7.1.0-r0.apk
2025-12-05 18:41
2.6M
hurl-bash-completion-7.1.0-r0.apk
2025-12-05 18:41
2.3K
hurl-doc-7.1.0-r0.apk
2025-12-05 18:41
9.2K
hurl-fish-completion-7.1.0-r0.apk
2025-12-05 18:41
3.6K
hurl-zsh-completion-7.1.0-r0.apk
2025-12-05 18:41
4.1K
fxload-2008.10.13-r0.apk
2025-12-06 23:24
8.3K
linux-gpib-4.3.7-r0.apk
2025-12-06 23:24
63K
linux-gpib-dev-4.3.7-r0.apk
2025-12-06 23:24
7.7K
linux-gpib-doc-4.3.7-r0.apk
2025-12-06 23:24
326K
linux-gpib-udev-4.3.7-r0.apk
2025-12-06 23:24
2.6K
php81-pecl-apcu-5.1.28-r0.apk
2025-12-07 15:54
57K
php82-pecl-apcu-5.1.28-r0.apk
2025-12-07 15:54
57K
py3-caldav-2.2.3-r0.apk
2025-12-07 19:14
113K
py3-caldav-pyc-2.2.3-r0.apk
2025-12-07 19:14
154K
py3-icalendar-searcher-1.0.3-r0.apk
2025-12-07 19:14
35K
py3-icalendar-searcher-pyc-1.0.3-r0.apk
2025-12-07 19:14
26K
libwasmtime-39.0.1-r0.apk
2025-12-08 20:56
3.9M
libwasmtime-static-39.0.1-r0.apk
2025-12-08 20:56
6.4M
wasmtime-39.0.1-r0.apk
2025-12-08 20:56
9.3M
wasmtime-dev-39.0.1-r0.apk
2025-12-08 20:56
114K
biometryd-0.3.3-r0.apk
2025-12-09 11:12
337K
biometryd-dev-0.3.3-r0.apk
2025-12-09 11:12
13K
gsettings-qt-1.1.0-r0.apk
2025-12-09 11:12
31K
gsettings-qt-dev-1.1.0-r0.apk
2025-12-09 11:12
3.5K
lomiri-download-manager-0.3.0-r0.apk
2025-12-09 11:12
632K
lomiri-download-manager-dev-0.3.0-r0.apk
2025-12-09 11:12
17K
lomiri-download-manager-doc-0.3.0-r0.apk
2025-12-09 11:12
3.4M
lomiri-download-manager-lang-0.3.0-r0.apk
2025-12-09 11:12
30K
lomiri-libusermetrics-1.4.0-r0.apk
2025-12-09 11:12
222K
lomiri-libusermetrics-dev-1.4.0-r0.apk
2025-12-09 11:12
7.8K
lomiri-libusermetrics-doc-1.4.0-r0.apk
2025-12-09 11:12
228K
lomiri-libusermetrics-lang-1.4.0-r0.apk
2025-12-09 11:12
45K
lomiri-thumbnailer-3.1.0-r0.apk
2025-12-09 11:12
227K
lomiri-thumbnailer-dev-3.1.0-r0.apk
2025-12-09 11:12
5.1K
lomiri-thumbnailer-doc-3.1.0-r0.apk
2025-12-09 11:12
124K
lomiri-ui-extras-0.8.0-r0.apk
2025-12-09 11:12
267K
lomiri-ui-extras-lang-0.8.0-r0.apk
2025-12-09 11:12
52K
punch-0.1.1-r0.apk
2025-12-09 23:40
30K
punch-pyc-0.1.1-r0.apk
2025-12-09 23:40
31K
qt6-qtgraphs-6.10.1-r0.apk
2025-12-10 16:56
1.2M
qt6-qtgraphs-dev-6.10.1-r0.apk
2025-12-10 16:56
118K
tachyon-0.99_beta6-r2.apk
2025-12-10 17:54
102K
tachyon-scenes-0.99_beta6-r2.apk
2025-12-10 17:54
1.9M
runst-0.2.0-r0.apk
2025-12-11 14:19
2.0M
runst-doc-0.2.0-r0.apk
2025-12-11 14:19
7.8K
py3-python-iptables-1.2.0-r1.apk
2025-12-12 15:43
38K
py3-python-iptables-pyc-1.2.0-r1.apk
2025-12-12 15:43
68K
birdtray-1.11.4-r0.apk
2025-12-12 17:03
444K
repo-2.60-r0.apk
2025-12-12 19:49
17K
repo-doc-2.60-r0.apk
2025-12-12 19:49
40K
chess-tui-2.0.0-r0.apk
2025-12-13 01:10
1.4M
chess-tui-doc-2.0.0-r0.apk
2025-12-13 01:10
2.2K
svls-0.2.14-r0.apk
2025-12-13 02:58
4.1M
svls-doc-0.2.14-r0.apk
2025-12-13 02:58
2.2K
mergerfs-2.41.1-r0.apk
2025-12-13 16:14
434K
mergerfs-doc-2.41.1-r0.apk
2025-12-13 16:14
3.3K
tpm2-pkcs11-1.9.2-r0.apk
2025-12-13 16:14
133K
tpm2-pkcs11-dev-1.9.2-r0.apk
2025-12-13 16:14
1.9K
tpm2-pkcs11-pyc-1.9.2-r0.apk
2025-12-13 16:14
70K
sc-controller-0.5.5-r0.apk
2025-12-14 08:56
1.3M
sc-controller-pyc-0.5.5-r0.apk
2025-12-14 08:56
814K
sc-controller-udev-0.5.5-r0.apk
2025-12-14 08:56
2.1K
hypridle-0.1.7-r1.apk
2025-12-14 10:57
149K
hypridle-doc-0.1.7-r1.apk
2025-12-14 10:57
2.4K
hypridle-openrc-0.1.7-r1.apk
2025-12-14 10:57
1.7K
hyprlock-0.9.2-r0.apk
2025-12-14 10:57
452K
hyprpicker-0.4.5-r1.apk
2025-12-14 10:57
131K
hyprpicker-doc-0.4.5-r1.apk
2025-12-14 10:57
3.8K
hyprsunset-0.3.3-r1.apk
2025-12-14 10:57
151K
hyprsunset-doc-0.3.3-r1.apk
2025-12-14 10:57
2.4K
hyprsunset-openrc-0.3.3-r1.apk
2025-12-14 10:57
1.8K
xdg-desktop-portal-hyprland-1.3.11-r0.apk
2025-12-14 10:57
357K
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2025-12-14 10:57
2.4K
qownnotes-25.12.5-r0.apk
2025-12-14 16:16
2.6M
qownnotes-lang-25.12.5-r0.apk
2025-12-14 16:16
4.9M
ovn-25.09.2-r0.apk
2025-12-14 22:05
2.1M
ovn-dbg-25.09.2-r0.apk
2025-12-14 22:05
6.7M
ovn-dev-25.09.2-r0.apk
2025-12-14 22:05
2.0M
ovn-doc-25.09.2-r0.apk
2025-12-14 22:05
264K
ovn-openrc-25.09.2-r0.apk
2025-12-14 22:05
2.4K
keepsecret-1.0.0-r0.apk
2025-12-15 16:23
143K
keepsecret-lang-1.0.0-r0.apk
2025-12-15 16:23
27K
py3-beartype-0.22.9-r0.apk
2025-12-15 18:33
1.0M
py3-beartype-pyc-0.22.9-r0.apk
2025-12-15 18:33
744K
ibus-typing-booster-2.29.0-r0.apk
2025-12-16 14:55
13M
ibus-typing-booster-lang-2.29.0-r0.apk
2025-12-16 14:55
317K
ibus-typing-booster-pyc-2.29.0-r0.apk
2025-12-16 14:55
1.5M
py3-evalidate-2.1.3-r0.apk
2025-12-16 14:55
14K
py3-evalidate-pyc-2.1.3-r0.apk
2025-12-16 14:55
12K
phosh-osk-data-0.42.0-r0.apk
2025-12-16 16:45
1.3K
phosh-osk-data-de-0.42.0-r0.apk
2025-12-16 16:45
64M
phosh-osk-data-es-0.42.0-r0.apk
2025-12-16 16:45
52M
phosh-osk-data-fi-0.42.0-r0.apk
2025-12-16 16:45
64M
phosh-osk-data-it-0.42.0-r0.apk
2025-12-16 16:45
58M
phosh-osk-data-nl-0.42.0-r0.apk
2025-12-16 16:45
54M
phosh-osk-data-pl-0.42.0-r0.apk
2025-12-16 16:45
57M
phosh-osk-data-pt-0.42.0-r0.apk
2025-12-16 16:45
57M
phosh-osk-data-ru-0.42.0-r0.apk
2025-12-16 16:45
20M
phosh-osk-data-se-0.42.0-r0.apk
2025-12-16 16:45
15M
phosh-osk-data-uk-0.42.0-r0.apk
2025-12-16 16:45
16M
py3-b2sdk-2.10.2-r0.apk
2025-12-16 18:42
227K
py3-b2sdk-pyc-2.10.2-r0.apk
2025-12-16 18:42
429K
vmlinux.h-6.18-r0.apk
2025-12-16 22:35
241K
alacritty-graphics-0.16.1-r0.apk
2025-12-18 00:01
2.3M
alacritty-graphics-bash-completion-0.16.1-r0.apk
2025-12-18 00:01
2.8K
alacritty-graphics-doc-0.16.1-r0.apk
2025-12-18 00:01
41K
alacritty-graphics-fish-completion-0.16.1-r0.apk
2025-12-18 00:01
3.1K
alacritty-graphics-zsh-completion-0.16.1-r0.apk
2025-12-18 00:01
3.4K
py3-mando-0.8.2-r0.apk
2025-12-18 10:57
22K
py3-mando-doc-0.8.2-r0.apk
2025-12-18 10:57
4.2K
py3-mando-pyc-0.8.2-r0.apk
2025-12-18 10:57
35K
py3-virtualenvwrapper-6.1.1-r1.apk
2025-12-18 10:58
22K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
2025-12-18 10:58
12K
xml2rfc-3.28.1-r3.apk
2025-12-18 11:00
352K
xml2rfc-pyc-3.28.1-r3.apk
2025-12-18 11:00
407K
lomiri-0.5.0-r4.apk
2025-12-18 15:16
4.0M
lomiri-app-launch-0.1.12-r5.apk
2025-12-18 15:16
345K
lomiri-app-launch-dev-0.1.12-r5.apk
2025-12-18 15:16
20K
lomiri-lang-0.5.0-r4.apk
2025-12-18 15:16
269K
lomiri-trust-store-2.0.2-r14.apk
2025-12-18 15:16
1.0M
lomiri-trust-store-dev-2.0.2-r14.apk
2025-12-18 15:16
9.1K
lomiri-trust-store-lang-2.0.2-r14.apk
2025-12-18 15:16
28K
mir-2.25.2-r0.apk
2025-12-18 15:16
2.6M
mir-demos-2.25.2-r0.apk
2025-12-18 15:16
160K
mir-dev-2.25.2-r0.apk
2025-12-18 15:16
8.9M
mir-test-tools-2.25.2-r0.apk
2025-12-18 15:16
1.4M
qtmir-0.7.2_git20250407-r5.apk
2025-12-18 15:16
546K
qtmir-dev-0.7.2_git20250407-r5.apk
2025-12-18 15:16
6.6K
conserver-8.2.7-r0.apk
2025-12-18 17:44
140K
conserver-doc-8.2.7-r0.apk
2025-12-18 17:44
28K
conserver-openrc-8.2.7-r0.apk
2025-12-18 17:44
1.7K
ntpd-rs-1.6.2-r2.apk
2025-12-18 17:56
3.2M
ntpd-rs-doc-1.6.2-r2.apk
2025-12-18 17:56
24K
ntpd-rs-openrc-1.6.2-r2.apk
2025-12-18 17:56
1.9K
hyperrogue-13.1i-r0.apk
2025-12-19 03:52
84M
hyperrogue-doc-13.1i-r0.apk
2025-12-19 03:52
8.0K
zfs-src-2.4.0-r0.apk
2025-12-19 08:58
33M
fbdebug-1.0.1-r0.apk
2025-12-19 21:47
5.4K
php85-pecl-luasandbox-4.1.3-r0.apk
2025-12-20 11:45
33K
scenefx-0.4.1-r0.apk
2025-12-20 23:14
61K
scenefx-dev-0.4.1-r0.apk
2025-12-20 23:14
11K
scenefx-doc-0.4.1-r0.apk
2025-12-20 23:14
2.3K
py3-apt-3.1.0-r0.apk
2025-12-21 03:05
183K
py3-apt-lang-3.1.0-r0.apk
2025-12-21 03:05
80K
py3-apt-pyc-3.1.0-r0.apk
2025-12-21 03:05
119K
perl-netaddr-mac-0.99-r0.apk
2025-12-21 07:46
10K
perl-netaddr-mac-doc-0.99-r0.apk
2025-12-21 07:46
8.1K
smile-2.11.0-r0.apk
2025-12-21 11:40
873K
smile-lang-2.11.0-r0.apk
2025-12-21 11:40
28K
sandbar-0.2_git20250909-r0.apk
2025-12-21 14:38
17K
microsocks-1.0.5-r0.apk
2025-12-21 23:53
8.4K
microsocks-doc-1.0.5-r0.apk
2025-12-21 23:53
3.9K
microsocks-openrc-1.0.5-r0.apk
2025-12-21 23:53
1.8K
rustscan-2.4.1-r0.apk
2025-12-22 02:32
1.5M
perl-finance-quote-1.68-r0.apk
2025-12-22 10:54
114K
perl-finance-quote-doc-1.68-r0.apk
2025-12-22 10:54
90K
fheroes2-1.1.13-r0.apk
2025-12-22 16:42
1.8M
fheroes2-lang-1.1.13-r0.apk
2025-12-22 16:42
1.8M
yamkix-0.14.0-r0.apk
2025-12-22 19:55
17K
yamkix-pyc-0.14.0-r0.apk
2025-12-22 19:55
20K
dam-0_git20250728-r0.apk
2025-12-22 21:22
15K
dam-doc-0_git20250728-r0.apk
2025-12-22 21:22
2.3K
valent-1.0.0_alpha49-r0.apk
2025-12-24 18:31
362K
valent-dev-1.0.0_alpha49-r0.apk
2025-12-24 18:31
95K
valent-lang-1.0.0_alpha49-r0.apk
2025-12-24 18:31
39K
valent-libs-1.0.0_alpha49-r0.apk
2025-12-24 18:31
140K
php85-snappy-0.2.3-r0.apk
2025-12-25 00:03
5.4K
py3-zope-i18nmessageid-8.2-r0.apk
2025-12-25 12:29
14K
py3-zope-i18nmessageid-pyc-8.2-r0.apk
2025-12-25 12:29
8.4K
stone-soup-0.33.1-r0.apk
2025-12-26 14:52
30M
rustls-ffi-0.15.0-r0.apk
2025-12-26 22:37
1.2M
rustls-ffi-dev-0.15.0-r0.apk
2025-12-26 22:37
2.5M
kronosnet-1.32-r0.apk
2025-12-27 09:48
73K
kronosnet-dev-1.32-r0.apk
2025-12-27 09:48
2.6M
kronosnet-doc-1.32-r0.apk
2025-12-27 09:48
108K
corosync-3.1.10-r0.apk
2025-12-27 10:37
284K
corosync-dev-3.1.10-r0.apk
2025-12-27 10:37
456K
corosync-doc-3.1.10-r0.apk
2025-12-27 10:37
191K
corosync-openrc-3.1.10-r0.apk
2025-12-27 10:37
1.8K
distroshelf-1.3.0-r0.apk
2025-12-27 15:41
3.6M
distroshelf-lang-1.3.0-r0.apk
2025-12-27 15:41
37K
mkosi-26-r1.apk
2025-12-27 17:17
283K
mkosi-doc-26-r1.apk
2025-12-27 17:17
75K
mkosi-pyc-26-r1.apk
2025-12-27 17:17
438K
mkosi-sandbox-26-r1.apk
2025-12-27 17:17
14K
recoll-1.43.9-r0.apk
2025-12-28 08:01
3.1M
recoll-dev-1.43.9-r0.apk
2025-12-28 08:01
55K
recoll-doc-1.43.9-r0.apk
2025-12-28 08:01
34K
usb-moded-notify-0.3.0-r0.apk
2025-12-28 18:17
3.1K
usb-moded-notify-systemd-0.3.0-r0.apk
2025-12-28 18:17
1.8K
php81-pecl-swoole-6.1.6-r0.apk
2025-12-28 20:31
1.0M
php81-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 20:31
220K
php82-pecl-swoole-6.1.6-r0.apk
2025-12-28 20:31
1.0M
php82-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 20:31
220K
nyuu-0.4.2-r1.apk
2025-12-29 15:46
614K
parpar-0.4.5-r1.apk
2025-12-29 15:46
1.6M
py3-r2pipe-5.9.0-r0.apk
2025-12-29 21:20
12K
py3-r2pipe-doc-5.9.0-r0.apk
2025-12-29 21:20
22K
py3-r2pipe-pyc-5.9.0-r0.apk
2025-12-29 21:20
21K
q6voiced-0.2.1-r0.apk
2025-12-30 13:28
4.6K
q6voiced-doc-0.2.1-r0.apk
2025-12-30 13:28
2.3K
q6voiced-openrc-0.2.1-r0.apk
2025-12-30 13:28
1.8K
q6voiced-systemd-0.2.1-r0.apk
2025-12-30 13:28
1.8K
libigraph-1.0.1-r0.apk
2025-12-30 13:35
2.0M
libigraph-dev-1.0.1-r0.apk
2025-12-30 13:35
90K
createrepo_c-1.1.4-r1.apk
2025-12-30 20:58
51K
createrepo_c-bash-completion-1.1.4-r1.apk
2025-12-30 20:58
2.9K
createrepo_c-dev-1.1.4-r1.apk
2025-12-30 20:58
31K
createrepo_c-doc-1.1.4-r1.apk
2025-12-30 20:58
8.6K
createrepo_c-libs-1.1.4-r1.apk
2025-12-30 20:58
92K
py3-createrepo_c-1.1.4-r1.apk
2025-12-30 20:58
48K
py3-createrepo_c-pyc-1.1.4-r1.apk
2025-12-30 20:58
15K
py3-py-radix-0.10.0-r11.apk
2025-12-30 21:01
22K
py3-py-radix-pyc-0.10.0-r11.apk
2025-12-30 21:01
11K
texlab-5.25.0-r0.apk
2025-12-30 21:14
8.8M
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 21:15
30K
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 21:15
30K
ropgadget-7.7-r0.apk
2025-12-31 15:01
26K
ropgadget-pyc-7.7-r0.apk
2025-12-31 15:01
48K
jreleaser-1.22.0-r0.apk
2025-12-31 15:50
41M
jreleaser-doc-1.22.0-r0.apk
2025-12-31 15:50
5.9K
miracle-wm-0.8.3-r0.apk
2025-12-31 16:43
479K
miracle-wm-dev-0.8.3-r0.apk
2025-12-31 16:43
15K
nfcd-1.2.4-r0.apk
2025-12-31 16:43
369K
nfcd-dev-1.2.4-r0.apk
2025-12-31 16:43
25K
nfcd-systemd-1.2.4-r0.apk
2025-12-31 16:43
1.8K
lgogdownloader-3.18-r0.apk
2025-12-31 21:46
370K
lgogdownloader-doc-3.18-r0.apk
2025-12-31 21:46
8.5K
tuwunel-1.4.9.1-r0.apk
2026-01-01 13:27
13M
tuwunel-openrc-1.4.9.1-r0.apk
2026-01-01 13:27
2.0K
freshrss-1.28.0-r0.apk
2026-01-02 01:39
1.7M
freshrss-doc-1.28.0-r0.apk
2026-01-02 01:39
912K
freshrss-lang-1.28.0-r0.apk
2026-01-02 01:39
507K
freshrss-mysql-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-openrc-1.28.0-r0.apk
2026-01-02 01:39
2.5K
freshrss-pgsql-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-sqlite-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-themes-1.28.0-r0.apk
2026-01-02 01:39
1.5M
libnfcdef-1.1.0-r0.apk
2026-01-02 17:17
14K
libnfcdef-dev-1.1.0-r0.apk
2026-01-02 17:17
6.0K
pypykatz-0.6.13-r1.apk
2026-01-02 20:09
316K
pypykatz-pyc-0.6.13-r1.apk
2026-01-02 20:09
724K
php81-pecl-amqp-2.2.0-r0.apk
2026-01-03 02:10
59K
php82-pecl-amqp-2.2.0-r0.apk
2026-01-03 02:10
60K
identities-0.2.3-r0.apk
2026-01-03 16:10
19K
tree-sitter-make-1.1.1-r0.apk
2026-01-03 20:55
41K
wolfssh-1.4.17-r2.apk
2026-01-03 23:39
139K
wolfssh-dev-1.4.17-r2.apk
2026-01-03 23:39
175K
ruby-hoe-4.5.1-r0.apk
2026-01-04 00:57
29K
ruby-minitest-focus-1.4.1-r0.apk
2026-01-04 00:58
6.4K
ufw-docker-251123-r0.apk
2026-01-04 00:59
9.6K
ufw-docker-doc-251123-r0.apk
2026-01-04 00:59
14K
ffmpeg4-4.4.6-r0.apk
2026-01-04 01:32
48K
ffmpeg4-dev-4.4.6-r0.apk
2026-01-04 01:32
281K
ffmpeg4-libavcodec-4.4.6-r0.apk
2026-01-04 01:32
9.4M
ffmpeg4-libavdevice-4.4.6-r0.apk
2026-01-04 01:32
52K
ffmpeg4-libavfilter-4.4.6-r0.apk
2026-01-04 01:32
2.0M
ffmpeg4-libavformat-4.4.6-r0.apk
2026-01-04 01:32
1.3M
ffmpeg4-libavutil-4.4.6-r0.apk
2026-01-04 01:32
373K
ffmpeg4-libpostproc-4.4.6-r0.apk
2026-01-04 01:32
65K
ffmpeg4-libswresample-4.4.6-r0.apk
2026-01-04 01:32
53K
ffmpeg4-libswscale-4.4.6-r0.apk
2026-01-04 01:32
185K
tayga-0.9.6-r0.apk
2026-01-04 14:16
29K
tayga-doc-0.9.6-r0.apk
2026-01-04 14:16
6.0K
octoprint-filecheck-2025.7.23-r0.apk
2026-01-04 14:27
28K
octoprint-filecheck-pyc-2025.7.23-r0.apk
2026-01-04 14:27
12K
octoprint-firmwarecheck-2025.7.23-r0.apk
2026-01-04 14:27
29K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
2026-01-04 14:27
18K
octoprint-pisupport-2025.7.23-r0.apk
2026-01-04 14:27
31K
octoprint-pisupport-pyc-2025.7.23-r0.apk
2026-01-04 14:27
15K
bazaar-0.7.2-r0.apk
2026-01-04 14:50
519K
bazaar-lang-0.7.2-r0.apk
2026-01-04 14:50
243K
bazaar-systemd-0.7.2-r0.apk
2026-01-04 14:50
1.7K
refine-0.7.0-r0.apk
2026-01-04 14:50
36K
refine-lang-0.7.0-r0.apk
2026-01-04 14:50
32K
phosh-tour-0.52.0-r0.apk
2026-01-04 16:14
40K
phosh-tour-lang-0.52.0-r0.apk
2026-01-04 16:14
36K
phosh-tour-systemd-0.52.0-r0.apk
2026-01-04 16:14
1.8K
tree-sitter-typst-0.11.0-r1.apk
2026-01-04 16:20
120K
comet-0.3.2-r0.apk
2026-01-05 01:45
2.9M
rygel-45.1-r0.apk
2026-01-05 15:36
817K
rygel-dev-45.1-r0.apk
2026-01-05 15:36
43K
rygel-doc-45.1-r0.apk
2026-01-05 15:36
9.7K
rygel-lang-45.1-r0.apk
2026-01-05 15:36
591K
rygel-systemd-45.1-r0.apk
2026-01-05 15:36
1.7K
uclient-20251003-r0.apk
2026-01-05 19:39
19K
uclient-dev-20251003-r0.apk
2026-01-05 19:39
3.5K
uclient-fetch-20251003-r0.apk
2026-01-05 19:39
11K
katarakt-0.3-r0.apk
2026-01-05 20:12
125K
py3-scrapy-2.13.4-r0.apk
2026-01-05 22:28
252K
py3-scrapy-pyc-2.13.4-r0.apk
2026-01-05 22:28
521K
yaru-common-25.10.3-r0.apk
2026-01-06 05:46
1.3K
yaru-gtksourceview-25.10.3-r0.apk
2026-01-06 05:46
5.0K
yaru-icon-theme-25.10.3-r0.apk
2026-01-06 05:46
35M
yaru-icon-theme-bark-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-blue-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-magenta-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-mate-25.10.3-r0.apk
2026-01-06 05:46
1.3M
yaru-icon-theme-olive-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-purple-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-red-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-sage-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-viridian-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-wartybrown-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-yellow-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-schemas-25.10.3-r0.apk
2026-01-06 05:46
1.9K
yaru-shell-25.10.3-r0.apk
2026-01-06 05:46
232K
yaru-sounds-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-theme-25.10.3-r0.apk
2026-01-06 05:46
840K
yaru-theme-bark-25.10.3-r0.apk
2026-01-06 05:46
763K
yaru-theme-blue-25.10.3-r0.apk
2026-01-06 05:46
766K
yaru-theme-hdpi-25.10.3-r0.apk
2026-01-06 05:46
73K
yaru-theme-magenta-25.10.3-r0.apk
2026-01-06 05:46
762K
yaru-theme-mate-25.10.3-r0.apk
2026-01-06 05:46
772K
yaru-theme-olive-25.10.3-r0.apk
2026-01-06 05:46
759K
yaru-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-purple-25.10.3-r0.apk
2026-01-06 05:46
757K
yaru-theme-red-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-sage-25.10.3-r0.apk
2026-01-06 05:46
763K
yaru-theme-viridian-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-wartybrown-25.10.3-r0.apk
2026-01-06 05:46
764K
yaru-theme-yellow-25.10.3-r0.apk
2026-01-06 05:46
766K
log4cxx-1.5.0-r0.apk
2026-01-06 07:27
569K
log4cxx-dev-1.5.0-r0.apk
2026-01-06 07:27
149K
cobang-2.3.1-r0.apk
2026-01-06 12:41
50K
cobang-lang-2.3.1-r0.apk
2026-01-06 12:41
16K
errands-46.2.10-r0.apk
2026-01-06 12:41
85K
errands-lang-46.2.10-r0.apk
2026-01-06 12:41
78K
kew-3.7.3-r0.apk
2026-01-06 12:41
625K
kew-doc-3.7.3-r0.apk
2026-01-06 12:41
3.7K
mint-y-icons-1.9.1-r0.apk
2026-01-06 12:41
73M
mint-y-icons-doc-1.9.1-r0.apk
2026-01-06 12:41
12K
turntable-0.5.1-r0.apk
2026-01-06 12:41
255K
turntable-lang-0.5.1-r0.apk
2026-01-06 12:41
27K
orage-4.21.0-r0.apk
2026-01-06 14:22
609K
orage-lang-4.21.0-r0.apk
2026-01-06 14:22
1.2M
xiccd-0.4.1-r0.apk
2026-01-06 14:32
15K
xiccd-doc-0.4.1-r0.apk
2026-01-06 14:32
3.5K
gradia-1.11.1-r0.apk
2026-01-06 14:50
786K
gradia-dev-1.11.1-r0.apk
2026-01-06 14:50
2.5K
gradia-lang-1.11.1-r0.apk
2026-01-06 14:50
64K
jackdaw-0.3.1-r2.apk
2026-01-06 14:57
2.0M
jackdaw-pyc-0.3.1-r2.apk
2026-01-06 14:57
364K
rizin-cutter-2.4.1-r1.apk
2026-01-06 19:09
2.7M
rizin-cutter-dev-2.4.1-r1.apk
2026-01-06 19:09
103K
coldbrew-1.0-r0.apk
2026-01-06 20:15
3.9K
formiko-1.5.0-r1.apk
2026-01-07 10:30
107K
formiko-doc-1.5.0-r1.apk
2026-01-07 10:30
8.5K
formiko-pyc-1.5.0-r1.apk
2026-01-07 10:30
61K
sdl3_image-3.2.6-r0.apk
2026-01-07 10:55
79K
sdl3_image-dev-3.2.6-r0.apk
2026-01-07 10:55
12K
sdl3_image-doc-3.2.6-r0.apk
2026-01-07 10:55
2.1K
kcbench-0.9.14-r0.apk
2026-01-07 16:40
37K
kcbench-doc-0.9.14-r0.apk
2026-01-07 16:40
20K
py3-iterable-io-1.0.1-r0.apk
2026-01-08 13:53
6.0K
py3-iterable-io-pyc-1.0.1-r0.apk
2026-01-08 13:53
5.4K
amber-0.5.1_alpha-r0.apk
2026-01-08 14:14
939K
m2r2-0.3.4-r0.apk
2026-01-08 17:32
12K
m2r2-pyc-0.3.4-r0.apk
2026-01-08 17:32
15K
py3-xapp-3.0.1-r0.apk
2026-01-08 20:56
72K
timeshift-25.12.4-r0.apk
2026-01-08 21:44
472K
timeshift-doc-25.12.4-r0.apk
2026-01-08 21:44
3.2K
timeshift-lang-25.12.4-r0.apk
2026-01-08 21:44
1.0M
clatd-2.1.0-r0.apk
2026-01-08 21:57
15K
spacenavd-1.3.1-r0.apk
2026-01-08 22:51
33K
cargo-udeps-0.1.60-r0.apk
2026-01-08 23:18
5.1M
cargo-udeps-doc-0.1.60-r0.apk
2026-01-08 23:18
7.5K
kmscon-9.2.1-r0.apk
2026-01-08 23:23
869K
kmscon-doc-9.2.1-r0.apk
2026-01-08 23:23
11K
kmscon-systemd-9.2.1-r0.apk
2026-01-08 23:23
2.7K
libtsm-4.3.0-r0.apk
2026-01-08 23:23
27K
libtsm-dev-4.3.0-r0.apk
2026-01-08 23:23
8.1K
appimagetool-1.9.1-r0.apk
2026-01-09 09:12
39K
kodi-audioencoder-flac-20.2.0-r1.apk
2026-01-09 15:54
41K
Kodi
kodi-audioencoder-lame-20.3.0-r1.apk
2026-01-09 15:54
90K
Kodi
kodi-audioencoder-vorbis-20.2.0-r1.apk
2026-01-09 15:54
33K
Kodi
kodi-audioencoder-wav-20.2.0-r1.apk
2026-01-09 15:54
25K
Kodi
kodi-game-libretro-20.1.0-r0.apk
2026-01-09 15:54
117K
Kodi
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2026-01-09 15:54
238K
Kodi
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2026-01-09 15:54
13K
Kodi
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2026-01-09 15:54
12K
Kodi
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2026-01-09 15:54
14K
Kodi
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2026-01-09 15:54
19K
Kodi
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2026-01-09 15:54
51K
Kodi
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2026-01-09 15:54
62K
Kodi
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2026-01-09 15:54
17K
Kodi
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2026-01-09 15:54
17K
Kodi
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2026-01-09 15:54
14K
Kodi
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2026-01-09 15:54
15K
Kodi
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2026-01-09 15:54
71K
Kodi
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2026-01-09 15:54
177K
Kodi
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2026-01-09 15:54
158K
Kodi
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2026-01-09 15:54
324K
Kodi
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2026-01-09 15:54
1.3M
Kodi
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2026-01-09 15:54
17K
Kodi
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2026-01-09 15:54
20K
Kodi
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2026-01-09 15:54
23K
Kodi
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2026-01-09 15:54
14K
Kodi
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2026-01-09 15:54
358K
Kodi
kodi-inputstream-rtmp-21.1.2-r0.apk
2026-01-09 15:54
89K
Kodi
kodi-peripheral-joystick-20.1.9-r0.apk
2026-01-09 15:54
215K
Kodi
kodi-pvr-hts-21.2.6-r0.apk
2026-01-09 15:54
310K
Kodi
kodi-pvr-iptvsimple-21.10.2-r0.apk
2026-01-09 15:54
933K
Kodi
kodi-vfs-libarchive-21.0.2-r0.apk
2026-01-09 15:54
117K
Kodi
kodi-vfs-rar-20.1.0-r1.apk
2026-01-09 15:54
407K
Kodi
kodi-vfs-sacd-20.1.0-r1.apk
2026-01-09 15:54
101K
Kodi
kodi-vfs-sftp-20.2.0-r1.apk
2026-01-09 15:54
53K
Kodi
onioncat-4.11.0-r1.apk
2026-01-09 16:41
58K
onioncat-doc-4.11.0-r1.apk
2026-01-09 16:41
19K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2026-01-09 18:55
24K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2026-01-09 18:55
39K
py3-imageio-2.37.0-r0.apk
2026-01-09 19:33
285K
py3-imageio-pyc-2.37.0-r0.apk
2026-01-09 19:33
504K
py3-moviepy-1.0.3-r6.apk
2026-01-09 19:33
91K
py3-moviepy-pyc-1.0.3-r6.apk
2026-01-09 19:33
153K
tartube-2.5.0-r2.apk
2026-01-09 19:33
2.7M
tartube-pyc-2.5.0-r2.apk
2026-01-09 19:33
1.1M
darkradiant-3.9.0-r1.apk
2026-01-09 20:16
9.9M
darkradiant-doc-3.9.0-r1.apk
2026-01-09 20:16
2.2M
darkradiant-lang-3.9.0-r1.apk
2026-01-09 20:16
37K
theforceengine-1.09.540-r2.apk
2026-01-09 20:16
7.0M
theforceengine-doc-1.09.540-r2.apk
2026-01-09 20:16
6.3M
vice-3.9-r3.apk
2026-01-09 20:16
14M
ginger-2.4.0-r7.apk
2026-01-09 20:18
251K
ginger-lang-2.4.0-r7.apk
2026-01-09 20:18
125K
ginger-pyc-2.4.0-r7.apk
2026-01-09 20:18
252K
gingerbase-2.3.0-r7.apk
2026-01-09 20:18
193K
gingerbase-lang-2.3.0-r7.apk
2026-01-09 20:18
53K
gingerbase-pyc-2.3.0-r7.apk
2026-01-09 20:18
71K
kimchi-3.0.0-r8.apk
2026-01-09 20:18
529K
kimchi-lang-3.0.0-r8.apk
2026-01-09 20:18
172K
kimchi-pyc-3.0.0-r8.apk
2026-01-09 20:18
476K
wok-3.0.0-r6.apk
2026-01-09 20:18
153K
wok-doc-3.0.0-r6.apk
2026-01-09 20:18
3.7K
wok-lang-3.0.0-r6.apk
2026-01-09 20:18
16K
wok-pyc-3.0.0-r6.apk
2026-01-09 20:18
128K
k2-0_git20250903-r0.apk
2026-01-09 22:13
86K
spnavcfg-1.3-r0.apk
2026-01-09 23:44
41K
py3-lsp-black-2.0.0-r1.apk
2026-01-10 03:03
7.2K
py3-lsp-black-pyc-2.0.0-r1.apk
2026-01-10 03:03
6.3K
ruby-path_expander-2.0.1-r0.apk
2026-01-10 05:04
6.7K
neocmakelsp-0.9.1-r0.apk
2026-01-10 06:58
1.7M
neocmakelsp-bash-completion-0.9.1-r0.apk
2026-01-10 06:58
2.0K
neocmakelsp-doc-0.9.1-r0.apk
2026-01-10 06:58
5.8K
neocmakelsp-fish-completion-0.9.1-r0.apk
2026-01-10 06:58
1.6K
neocmakelsp-zsh-completion-0.9.1-r0.apk
2026-01-10 06:58
2.0K
ruby-minitest-autotest-1.2.1-r0.apk
2026-01-10 13:08
14K
cpp-httplib-0.30.1-r0.apk
2026-01-10 15:30
96K
cpp-httplib-doc-0.30.1-r0.apk
2026-01-10 15:30
14K
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2026-01-10 17:55
2.6M
smb4k-4.0.5-r0.apk
2026-01-10 20:19
1.8M
smb4k-doc-4.0.5-r0.apk
2026-01-10 20:19
4.6M
bore-0.5.2-r0.apk
2026-01-10 21:17
568K
kbs2-0.7.3-r0.apk
2026-01-10 22:16
1.2M
kbs2-bash-completion-0.7.3-r0.apk
2026-01-10 22:16
3.2K
kbs2-fish-completion-0.7.3-r0.apk
2026-01-10 22:16
3.4K
kbs2-zsh-completion-0.7.3-r0.apk
2026-01-10 22:16
4.1K
chimerautils-15.0.3-r0.apk
2026-01-10 22:52
1.3M
chimerautils-dbg-15.0.3-r0.apk
2026-01-10 22:52
3.1M
rustical-0.11.11-r0.apk
2026-01-11 13:49
4.6M
kanidm-1.8.5-r1.apk
2026-01-11 18:19
1.3K
kanidm-bash-completion-1.8.5-r1.apk
2026-01-11 18:19
27K
kanidm-clients-1.8.5-r1.apk
2026-01-11 18:19
3.5M
kanidm-openrc-1.8.5-r1.apk
2026-01-11 18:19
2.1K
kanidm-server-1.8.5-r1.apk
2026-01-11 18:19
15M
kanidm-unixd-clients-1.8.5-r1.apk
2026-01-11 18:19
8.2M
kanidm-zsh-completion-1.8.5-r1.apk
2026-01-11 18:19
37K
81voltd-1.1.0-r0.apk
2026-01-11 18:19
14K
81voltd-doc-1.1.0-r0.apk
2026-01-11 18:19
2.2K
81voltd-openrc-1.1.0-r0.apk
2026-01-11 18:19
1.7K
81voltd-systemd-1.1.0-r0.apk
2026-01-11 18:19
1.7K
proverif-2.05-r1.apk
2026-01-11 22:39
1.9M
proverif-emacs-2.05-r1.apk
2026-01-11 22:39
2.9K
proverif-examples-2.05-r1.apk
2026-01-11 22:39
168K
proverif-interact-2.05-r1.apk
2026-01-11 22:39
2.4M
proverif-utils-2.05-r1.apk
2026-01-11 22:39
556K
reaver-wps-fork-t6x-1.6.6-r1.apk
2026-01-12 10:50
486K
sqlmap-1.10-r0.apk
2026-01-12 14:12
6.8M
sqlmap-pyc-1.10-r0.apk
2026-01-12 14:12
1.2M
faircamp-1.7.0-r1.apk
2026-01-12 23:08
2.8M
pd-mapper-1.1-r0.apk
2026-01-13 00:02
11K
pd-mapper-doc-1.1-r0.apk
2026-01-13 00:02
2.5K
pd-mapper-openrc-1.1-r0.apk
2026-01-13 00:02
1.7K
pd-mapper-systemd-1.1-r0.apk
2026-01-13 00:02
1.7K
libsimpleble-0.10.4-r0.apk
2026-01-13 03:13
416K
libsimpleble-c-0.10.4-r0.apk
2026-01-13 03:13
15K
libsimplebluez-0.10.4-r0.apk
2026-01-13 03:13
193K
simpleble-0.10.4-r0.apk
2026-01-13 03:13
1.2K
simpleble-dev-0.10.4-r0.apk
2026-01-13 03:13
30K
perl-ffi-platypus-2.11-r0.apk
2026-01-13 05:59
189K
perl-ffi-platypus-doc-2.11-r0.apk
2026-01-13 05:59
148K
cava-0.10.7-r0.apk
2026-01-14 02:49
52K
hfst-3.16.2-r1.apk
2026-01-14 02:49
1.4M
hfst-dev-3.16.2-r1.apk
2026-01-14 02:49
209K
hfst-doc-3.16.2-r1.apk
2026-01-14 02:49
70K
hfst-libs-3.16.2-r1.apk
2026-01-14 02:49
2.0M
lomiri-system-settings-1.3.2-r1.apk
2026-01-14 02:49
1.1M
lomiri-system-settings-lang-1.3.2-r1.apk
2026-01-14 02:49
846K
organicmaps-2025.09.05.1-r1.apk
2026-01-14 02:49
127M
php81-8.1.34-r1.apk
2026-01-14 02:49
1.8M
php81-apache2-8.1.34-r1.apk
2026-01-14 02:49
1.8M
php81-bcmath-8.1.34-r1.apk
2026-01-14 02:49
18K
php81-bz2-8.1.34-r1.apk
2026-01-14 02:49
9.8K
php81-calendar-8.1.34-r1.apk
2026-01-14 02:49
14K
php81-cgi-8.1.34-r1.apk
2026-01-14 02:49
1.8M
php81-common-8.1.34-r1.apk
2026-01-14 02:49
25K
php81-ctype-8.1.34-r1.apk
2026-01-14 02:49
4.8K
php81-curl-8.1.34-r1.apk
2026-01-14 02:49
34K
php81-dba-8.1.34-r1.apk
2026-01-14 02:49
22K
php81-dev-8.1.34-r1.apk
2026-01-14 02:49
939K
php81-doc-8.1.34-r1.apk
2026-01-14 02:49
68K
php81-dom-8.1.34-r1.apk
2026-01-14 02:49
58K
php81-embed-8.1.34-r1.apk
2026-01-14 02:49
1.8M
php81-enchant-8.1.34-r1.apk
2026-01-14 02:49
8.4K
php81-exif-8.1.34-r1.apk
2026-01-14 02:49
34K
php81-ffi-8.1.34-r1.apk
2026-01-14 02:49
67K
php81-fileinfo-8.1.34-r1.apk
2026-01-14 02:49
380K
php81-fpm-8.1.34-r1.apk
2026-01-14 02:49
1.9M
php81-ftp-8.1.34-r1.apk
2026-01-14 02:49
22K
php81-gd-8.1.34-r1.apk
2026-01-14 02:49
126K
php81-gettext-8.1.34-r1.apk
2026-01-14 02:49
5.7K
php81-gmp-8.1.34-r1.apk
2026-01-14 02:49
20K
php81-iconv-8.1.34-r1.apk
2026-01-14 02:49
17K
php81-imap-8.1.34-r1.apk
2026-01-14 02:49
33K
php81-intl-8.1.34-r1.apk
2026-01-14 02:49
143K
php81-ldap-8.1.34-r1.apk
2026-01-14 02:49
31K
php81-litespeed-8.1.34-r1.apk
2026-01-14 02:49
1.8M
php81-mbstring-8.1.34-r1.apk
2026-01-14 02:49
588K
php81-mysqli-8.1.34-r1.apk
2026-01-14 02:49
41K
php81-mysqlnd-8.1.34-r1.apk
2026-01-14 02:49
78K
php81-odbc-8.1.34-r1.apk
2026-01-14 02:49
23K
php81-opcache-8.1.34-r1.apk
2026-01-14 02:49
68K
php81-openssl-8.1.34-r1.apk
2026-01-14 02:49
71K
php81-pcntl-8.1.34-r1.apk
2026-01-14 02:49
13K
php81-pdo-8.1.34-r1.apk
2026-01-14 02:49
44K
php81-pdo_dblib-8.1.34-r1.apk
2026-01-14 02:49
12K
php81-pdo_mysql-8.1.34-r1.apk
2026-01-14 02:49
13K
php81-pdo_odbc-8.1.34-r1.apk
2026-01-14 02:49
12K
php81-pdo_pgsql-8.1.34-r1.apk
2026-01-14 02:49
19K
php81-pdo_sqlite-8.1.34-r1.apk
2026-01-14 02:49
13K
php81-pear-8.1.34-r1.apk
2026-01-14 02:49
338K
php81-pgsql-8.1.34-r1.apk
2026-01-14 02:49
45K
php81-phar-8.1.34-r1.apk
2026-01-14 02:49
117K
php81-phpdbg-8.1.34-r1.apk
2026-01-14 02:49
1.9M
php81-posix-8.1.34-r1.apk
2026-01-14 02:49
11K
php81-pspell-8.1.34-r1.apk
2026-01-14 02:49
7.9K
php81-session-8.1.34-r1.apk
2026-01-14 02:49
36K
php81-shmop-8.1.34-r1.apk
2026-01-14 02:49
6.0K
php81-simplexml-8.1.34-r1.apk
2026-01-14 02:49
22K
php81-snmp-8.1.34-r1.apk
2026-01-14 02:49
21K
php81-soap-8.1.34-r1.apk
2026-01-14 02:49
137K
php81-sockets-8.1.34-r1.apk
2026-01-14 02:49
34K
php81-sodium-8.1.34-r1.apk
2026-01-14 02:49
26K
php81-sqlite3-8.1.34-r1.apk
2026-01-14 02:49
21K
php81-sysvmsg-8.1.34-r1.apk
2026-01-14 02:49
7.6K
php81-sysvsem-8.1.34-r1.apk
2026-01-14 02:49
5.5K
php81-sysvshm-8.1.34-r1.apk
2026-01-14 02:49
6.6K
php81-tidy-8.1.34-r1.apk
2026-01-14 02:49
19K
php81-tokenizer-8.1.34-r1.apk
2026-01-14 02:49
11K
php81-xml-8.1.34-r1.apk
2026-01-14 02:49
18K
php81-xmlreader-8.1.34-r1.apk
2026-01-14 02:49
13K
php81-xmlwriter-8.1.34-r1.apk
2026-01-14 02:49
13K
php81-xsl-8.1.34-r1.apk
2026-01-14 02:49
13K
php81-zip-8.1.34-r1.apk
2026-01-14 02:49
25K
php82-8.2.30-r2.apk
2026-01-14 02:49
1.9M
php82-apache2-8.2.30-r2.apk
2026-01-14 02:49
1.8M
php82-bcmath-8.2.30-r2.apk
2026-01-14 02:49
18K
php82-bz2-8.2.30-r2.apk
2026-01-14 02:49
9.8K
php82-calendar-8.2.30-r2.apk
2026-01-14 02:49
14K
php82-cgi-8.2.30-r2.apk
2026-01-14 02:49
1.8M
php82-common-8.2.30-r2.apk
2026-01-14 02:49
25K
php82-ctype-8.2.30-r2.apk
2026-01-14 02:49
4.8K
php82-curl-8.2.30-r2.apk
2026-01-14 02:49
37K
php82-dba-8.2.30-r2.apk
2026-01-14 02:49
23K
php82-dbg-8.2.30-r2.apk
2026-01-14 02:50
43M
php82-dev-8.2.30-r2.apk
2026-01-14 02:50
968K
php82-doc-8.2.30-r2.apk
2026-01-14 02:50
73K
php82-dom-8.2.30-r2.apk
2026-01-14 02:50
60K
php82-embed-8.2.30-r2.apk
2026-01-14 02:50
1.8M
php82-enchant-8.2.30-r2.apk
2026-01-14 02:50
8.4K
php82-exif-8.2.30-r2.apk
2026-01-14 02:50
34K
php82-ffi-8.2.30-r2.apk
2026-01-14 02:50
69K
php82-fileinfo-8.2.30-r2.apk
2026-01-14 02:50
380K
php82-fpm-8.2.30-r2.apk
2026-01-14 02:50
1.9M
php82-ftp-8.2.30-r2.apk
2026-01-14 02:50
23K
php82-gd-8.2.30-r2.apk
2026-01-14 02:50
126K
php82-gettext-8.2.30-r2.apk
2026-01-14 02:50
5.8K
php82-gmp-8.2.30-r2.apk
2026-01-14 02:50
21K
php82-iconv-8.2.30-r2.apk
2026-01-14 02:50
17K
php82-imap-8.2.30-r2.apk
2026-01-14 02:50
33K
php82-intl-8.2.30-r2.apk
2026-01-14 02:50
160K
php82-ldap-8.2.30-r2.apk
2026-01-14 02:50
32K
php82-litespeed-8.2.30-r2.apk
2026-01-14 02:50
1.8M
php82-mbstring-8.2.30-r2.apk
2026-01-14 02:50
645K
php82-mysqli-8.2.30-r2.apk
2026-01-14 02:50
42K
php82-mysqlnd-8.2.30-r2.apk
2026-01-14 02:50
79K
php82-odbc-8.2.30-r2.apk
2026-01-14 02:50
24K
php82-opcache-8.2.30-r2.apk
2026-01-14 02:50
69K
php82-openssl-8.2.30-r2.apk
2026-01-14 02:50
73K
php82-pcntl-8.2.30-r2.apk
2026-01-14 02:50
15K
php82-pdo-8.2.30-r2.apk
2026-01-14 02:50
45K
php82-pdo_dblib-8.2.30-r2.apk
2026-01-14 02:50
12K
php82-pdo_mysql-8.2.30-r2.apk
2026-01-14 02:50
13K
php82-pdo_odbc-8.2.30-r2.apk
2026-01-14 02:50
13K
php82-pdo_pgsql-8.2.30-r2.apk
2026-01-14 02:50
19K
php82-pdo_sqlite-8.2.30-r2.apk
2026-01-14 02:50
13K
php82-pear-8.2.30-r2.apk
2026-01-14 02:50
338K
php82-pgsql-8.2.30-r2.apk
2026-01-14 02:50
45K
php82-phar-8.2.30-r2.apk
2026-01-14 02:50
117K
php82-phpdbg-8.2.30-r2.apk
2026-01-14 02:50
1.9M
php82-posix-8.2.30-r2.apk
2026-01-14 02:50
11K
php82-pspell-8.2.30-r2.apk
2026-01-14 02:50
7.9K
php82-session-8.2.30-r2.apk
2026-01-14 02:50
36K
php82-shmop-8.2.30-r2.apk
2026-01-14 02:50
6.0K
php82-simplexml-8.2.30-r2.apk
2026-01-14 02:50
22K
php82-snmp-8.2.30-r2.apk
2026-01-14 02:50
22K
php82-soap-8.2.30-r2.apk
2026-01-14 02:50
140K
php82-sockets-8.2.30-r2.apk
2026-01-14 02:50
35K
php82-sodium-8.2.30-r2.apk
2026-01-14 02:50
27K
php82-sqlite3-8.2.30-r2.apk
2026-01-14 02:50
22K
php82-sysvmsg-8.2.30-r2.apk
2026-01-14 02:50
7.8K
php82-sysvsem-8.2.30-r2.apk
2026-01-14 02:50
5.5K
php82-sysvshm-8.2.30-r2.apk
2026-01-14 02:50
6.6K
php82-tidy-8.2.30-r2.apk
2026-01-14 02:50
19K
php82-tokenizer-8.2.30-r2.apk
2026-01-14 02:50
11K
php82-xml-8.2.30-r2.apk
2026-01-14 02:50
18K
php82-xmlreader-8.2.30-r2.apk
2026-01-14 02:50
14K
php82-xmlwriter-8.2.30-r2.apk
2026-01-14 02:50
13K
php82-xsl-8.2.30-r2.apk
2026-01-14 02:50
13K
php82-zip-8.2.30-r2.apk
2026-01-14 02:50
27K
py3-hfst-3.16.2-r1.apk
2026-01-14 02:50
388K
lxappearance-0.6.3-r4.apk
2026-01-14 15:24
30K
lxappearance-dev-0.6.3-r4.apk
2026-01-14 15:24
3.2K
lxappearance-doc-0.6.3-r4.apk
2026-01-14 15:24
2.6K
lxappearance-lang-0.6.3-r4.apk
2026-01-14 15:24
79K
perl-net-curl-0.58-r0.apk
2026-01-14 19:02
66K
perl-net-curl-doc-0.58-r0.apk
2026-01-14 19:02
39K
py3-c3d-0.6.0-r1.apk
2026-01-14 19:02
32K
py3-c3d-pyc-0.6.0-r1.apk
2026-01-14 19:02
54K
ruby-dry-inflector-1.3.1-r0.apk
2026-01-14 19:02
8.3K
ruby-dry-inflector-doc-1.3.1-r0.apk
2026-01-14 19:02
2.3K
lomiri-history-service-0.6-r21.apk
2026-01-14 19:39
363K
lomiri-history-service-dev-0.6-r21.apk
2026-01-14 19:39
11K
lomiri-telephony-service-0.6.2-r2.apk
2026-01-14 19:39
1.0M
lomiri-telephony-service-lang-0.6.2-r2.apk
2026-01-14 19:39
109K
petitboot-1.15-r1.apk
2026-01-15 02:40
198K
petitboot-dbg-1.15-r1.apk
2026-01-15 02:40
588K
petitboot-doc-1.15-r1.apk
2026-01-15 02:40
8.1K
rmtfs-1.1.1-r2.apk
2026-01-15 02:40
14K
rmtfs-doc-1.1.1-r2.apk
2026-01-15 02:40
2.4K
rmtfs-openrc-1.1.1-r2.apk
2026-01-15 02:40
2.1K
rmtfs-systemd-1.1.1-r2.apk
2026-01-15 02:40
1.8K
rmtfs-udev-1.1.1-r2.apk
2026-01-15 02:40
1.7K
py3-wbdata-1.1.0-r0.apk
2026-01-15 02:44
18K
py3-wbdata-pyc-1.1.0-r0.apk
2026-01-15 02:44
20K
gst-audio-thumbnailer-1.0_alpha3-r0.apk
2026-01-15 11:33
278K
gst-thumbnailers-1.0_alpha3-r0.apk
2026-01-15 11:33
1.3K
gst-video-thumbnailer-1.0_alpha3-r0.apk
2026-01-15 11:33
293K
lomiri-location-service-3.3.0-r6.apk
2026-01-15 19:06
2.3M
lomiri-location-service-dev-3.3.0-r6.apk
2026-01-15 19:06
30K
lomiri-location-service-doc-3.3.0-r6.apk
2026-01-15 19:06
2.9K
lomiri-location-service-lang-3.3.0-r6.apk
2026-01-15 19:06
26K
lomiri-location-service-systemd-3.3.0-r6.apk
2026-01-15 19:06
2.1K
acmetool-0.2.2-r19.apk
2026-01-17 12:12
4.6M
acmetool-doc-0.2.2-r19.apk
2026-01-17 12:12
47K
adguardhome-0.107.71-r1.apk
2026-01-17 12:12
11M
adguardhome-openrc-0.107.71-r1.apk
2026-01-17 12:12
2.2K
alpine-lift-0.2.0-r29.apk
2026-01-17 12:12
3.8M
Alpine Linux
alps-0_git20230807-r18.apk
2026-01-17 12:12
5.7M
alps-openrc-0_git20230807-r18.apk
2026-01-17 12:12
2.0K
antibody-6.1.1-r34.apk
2026-01-17 12:12
1.9M
aports-glmr-0.2-r34.apk
2026-01-17 12:12
2.6M
aprilsh-0.7.12-r11.apk
2026-01-17 12:12
1.6K
aprilsh-client-0.7.12-r11.apk
2026-01-17 12:12
3.3M
aprilsh-doc-0.7.12-r11.apk
2026-01-17 12:12
14K
aprilsh-openrc-0.7.12-r11.apk
2026-01-17 12:12
1.8K
aprilsh-server-0.7.12-r11.apk
2026-01-17 12:12
2.5M
asdf-0.18.0-r6.apk
2026-01-17 12:12
1.7M
asdf-doc-0.18.0-r6.apk
2026-01-17 12:12
2.2K
autorestic-1.8.3-r11.apk
2026-01-17 12:12
3.8M
aws-ecr-get-login-password-1.0.0_rc2-r2.apk
2026-01-17 12:12
3.3M
aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk
2026-01-17 12:12
2.3K
beebzzr-0_git20251214-r0.apk
2026-01-17 12:12
5.1K
beebzzr-doc-0_git20251214-r0.apk
2026-01-17 12:12
2.5K
bettercap-2.41.5-r1.apk
2026-01-17 12:12
20M
bettercap-doc-2.41.5-r1.apk
2026-01-17 12:12
14K
bomctl-0.1.9-r12.apk
2026-01-17 12:12
9.4M
bomctl-bash-completion-0.1.9-r12.apk
2026-01-17 12:12
5.1K
bomctl-fish-completion-0.1.9-r12.apk
2026-01-17 12:12
4.3K
bomctl-zsh-completion-0.1.9-r12.apk
2026-01-17 12:12
4.1K
bootloose-0.7.1-r17.apk
2026-01-17 12:12
2.3M
btcd-0.25.0-r1.apk
2026-01-17 12:12
16M
buf-1.59.0-r2.apk
2026-01-17 12:12
15M
buf-bash-completion-1.59.0-r2.apk
2026-01-17 12:12
8.6K
buf-fish-completion-1.59.0-r2.apk
2026-01-17 12:12
4.3K
buf-protoc-plugins-1.59.0-r2.apk
2026-01-17 12:12
14M
buf-zsh-completion-1.59.0-r2.apk
2026-01-17 12:12
4.0K
butane-0.25.1-r3.apk
2026-01-17 12:12
3.2M
captive-browser-0_git20210801-r3.apk
2026-01-17 12:12
1.3M
captive-browser-doc-0_git20210801-r3.apk
2026-01-17 12:12
3.7K
certigo-1.16.0-r29.apk
2026-01-17 12:12
3.8M
certstrap-1.3.0-r30.apk
2026-01-17 12:12
2.3M
cfssl-1.6.5-r11.apk
2026-01-17 12:12
30M
charta-0.8.2-r2.apk
2026-01-17 12:12
2.1M
chasquid-1.17.0-r1.apk
2026-01-17 12:12
11M
chasquid-doc-1.17.0-r1.apk
2026-01-17 12:12
11K
chasquid-openrc-1.17.0-r1.apk
2026-01-17 12:12
2.0K
cilium-cli-0.16.13-r11.apk
2026-01-17 12:12
55M
cilium-cli-bash-completion-0.16.13-r11.apk
2026-01-17 12:12
5.1K
cilium-cli-fish-completion-0.16.13-r11.apk
2026-01-17 12:12
4.3K
cilium-cli-zsh-completion-0.16.13-r11.apk
2026-01-17 12:12
4.0K
cliphist-0.7.0-r2.apk
2026-01-17 12:12
1.0M
cliphist-fzf-0.7.0-r2.apk
2026-01-17 12:12
1.8K
cloudflared-2025.11.1-r12.apk
2026-01-17 12:12
9.8M
cloudflared-doc-2025.11.1-r12.apk
2026-01-17 12:12
1.9K
cloudflared-openrc-2025.11.1-r12.apk
2026-01-17 12:12
1.8K
cloudfoundry-cli-8.7.9-r15.apk
2026-01-17 12:12
9.2M
comics-downloader-0.33.8-r16.apk
2026-01-17 12:12
3.9M
comics-downloader-gui-0.33.8-r16.apk
2026-01-17 12:12
5.7M
conntracct-0.2.7-r37.apk
2026-01-17 12:12
5.2M
conntracct-openrc-0.2.7-r37.apk
2026-01-17 12:12
1.9K
consul-replicate-0.4.0-r37.apk
2026-01-17 12:12
3.0M
cortex-tenant-1.15.7-r3.apk
2026-01-17 12:12
4.3M
cortex-tenant-openrc-1.15.7-r3.apk
2026-01-17 12:12
2.0K
dbmate-2.28.0-r2.apk
2026-01-17 12:12
11M
dbmate-doc-2.28.0-r2.apk
2026-01-17 12:12
2.3K
desync-0.9.6-r11.apk
2026-01-17 12:12
7.8M
devpod-0.6.15-r10.apk
2026-01-17 12:12
25M
devpod-bash-completion-0.6.15-r10.apk
2026-01-17 12:12
5.1K
devpod-fish-completion-0.6.15-r10.apk
2026-01-17 12:12
4.3K
devpod-zsh-completion-0.6.15-r10.apk
2026-01-17 12:12
4.0K
dlib-20.0-r0.apk
2026-01-17 12:12
807K
dlib-dev-20.0-r0.apk
2026-01-17 12:12
2.4M
dnote-0.16.0-r1.apk
2026-01-17 12:12
4.0M
dnote-bash-completion-0.16.0-r1.apk
2026-01-17 12:12
2.1K
dnote-doc-0.16.0-r1.apk
2026-01-17 12:12
6.3K
dnote-zsh-completion-0.16.0-r1.apk
2026-01-17 12:12
2.0K
docker-volume-local-persist-1.3.0-r39.apk
2026-01-17 12:12
2.6M
docker-volume-local-persist-openrc-1.3.0-r39.apk
2026-01-17 12:12
1.8K
dockerize-0.9.6-r3.apk
2026-01-17 12:12
3.5M
dolt-1.79.1-r2.apk
2026-01-17 12:12
40M
draw-0.1.1-r19.apk
2026-01-17 12:12
1.0M
drone-cli-1.8.0-r16.apk
2026-01-17 12:12
6.0M
dstask-0.27-r5.apk
2026-01-17 12:12
1.6M
dstask-bash-completion-0.27-r5.apk
2026-01-17 12:12
2.1K
dstask-fish-completion-0.27-r5.apk
2026-01-17 12:12
1.7K
dstask-import-0.27-r5.apk
2026-01-17 12:12
3.6M
dstask-zsh-completion-0.27-r5.apk
2026-01-17 12:12
1.7K
duf-0.9.1-r3.apk
2026-01-17 12:12
1.3M
duf-doc-0.9.1-r3.apk
2026-01-17 12:12
4.7K
elastic-beats-9.2.0-r2.apk
2026-01-17 12:12
1.3K
envconsul-0.13.4-r2.apk
2026-01-17 12:12
5.0M
ergo-ldap-0.0.1-r23.apk
2026-01-17 12:12
2.3M
ergo-ldap-doc-0.0.1-r23.apk
2026-01-17 12:12
2.3K
exercism-3.2.0-r19.apk
2026-01-17 12:12
4.2M
exercism-bash-completion-3.2.0-r19.apk
2026-01-17 12:12
2.0K
exercism-fish-completion-3.2.0-r19.apk
2026-01-17 12:12
2.4K
exercism-zsh-completion-3.2.0-r19.apk
2026-01-17 12:12
2.1K
fathom-1.3.1-r19.apk
2026-01-17 12:12
5.0M
filebeat-9.2.0-r2.apk
2026-01-17 12:12
38M
filebeat-openrc-9.2.0-r2.apk
2026-01-17 12:12
2.0K
filebrowser-2.27.0-r17.apk
2026-01-17 12:12
7.6M
filebrowser-openrc-2.27.0-r17.apk
2026-01-17 12:12
1.8K
fq-0.16.0-r1.apk
2026-01-17 12:12
4.5M
fynedesk-0.4.0-r3.apk
2026-01-17 12:12
13M
gb-0.4.4-r37.apk
2026-01-17 12:12
7.3M
geodns-3.3.0-r19.apk
2026-01-17 12:12
4.9M
geodns-logs-3.3.0-r19.apk
2026-01-17 12:12
4.5M
geodns-openrc-3.3.0-r19.apk
2026-01-17 12:12
1.8K
ghq-1.8.0-r7.apk
2026-01-17 12:12
3.8M
ghq-bash-completion-1.8.0-r7.apk
2026-01-17 12:12
1.8K
ghq-doc-1.8.0-r7.apk
2026-01-17 12:12
5.5K
ghq-fish-completion-1.8.0-r7.apk
2026-01-17 12:12
2.5K
ghq-zsh-completion-1.8.0-r7.apk
2026-01-17 12:12
2.5K
git-bug-0.8.1-r7.apk
2026-01-17 12:12
10M
git-bug-bash-completion-0.8.1-r7.apk
2026-01-17 12:12
5.3K
git-bug-doc-0.8.1-r7.apk
2026-01-17 12:12
17K
git-bug-fish-completion-0.8.1-r7.apk
2026-01-17 12:12
4.3K
git-bug-zsh-completion-0.8.1-r7.apk
2026-01-17 12:12
4.0K
gliderlabs-sigil-0.11.0-r11.apk
2026-01-17 12:12
3.3M
gliderlabs-sigil-doc-0.11.0-r11.apk
2026-01-17 12:12
2.4K
glow-2.1.1-r6.apk
2026-01-17 12:12
6.1M
glow-bash-completion-2.1.1-r6.apk
2026-01-17 12:12
6.1K
glow-doc-2.1.1-r6.apk
2026-01-17 12:12
3.2K
glow-fish-completion-2.1.1-r6.apk
2026-01-17 12:12
4.3K
glow-zsh-completion-2.1.1-r6.apk
2026-01-17 12:12
4.0K
go-jsonnet-0.21.0-r6.apk
2026-01-17 12:12
6.8M
go-mtpfs-1.0.0-r34.apk
2026-01-17 12:12
1.2M
go-passbolt-cli-0.3.2-r9.apk
2026-01-17 12:12
6.3M
go-tools-0.41.0-r1.apk
2026-01-17 12:12
47M
gobuster-3.8.0-r4.apk
2026-01-17 12:12
3.7M
godini-1.0.0-r6.apk
2026-01-17 12:12
1.5M
godini-doc-1.0.0-r6.apk
2026-01-17 12:12
15K
gomp-1.0.0-r18.apk
2026-01-17 12:12
3.7M
goreman-0.3.15-r19.apk
2026-01-17 12:12
2.5M
goshs-1.1.3-r1.apk
2026-01-17 12:12
6.4M
goshs-doc-1.1.3-r1.apk
2026-01-17 12:12
2.3K
gotify-cli-2.3.2-r11.apk
2026-01-17 12:12
4.3M
grpcui-1.5.1-r5.apk
2026-01-17 12:12
8.8M
grpcurl-1.9.3-r9.apk
2026-01-17 12:12
8.4M
gx-0.14.3-r36.apk
2026-01-17 12:12
4.8M
gx-doc-0.14.3-r36.apk
2026-01-17 12:12
2.3K
gx-go-1.9.0-r38.apk
2026-01-17 12:12
5.0M
gx-go-doc-1.9.0-r38.apk
2026-01-17 12:12
2.3K
gyosu-0.2.0-r4.apk
2026-01-17 12:12
1.9M
haproxy-dataplaneapi2-2.9.18-r3.apk
2026-01-17 12:12
11M
haproxy-dataplaneapi2-openrc-2.9.18-r3.apk
2026-01-17 12:12
2.1K
helm-diff-3.13.1-r2.apk
2026-01-17 12:12
22M
helm-ls-0.5.4-r2.apk
2026-01-17 12:12
19M
helm-ls-doc-0.5.4-r2.apk
2026-01-17 12:12
2.2K
helm-mapkubeapis-0.6.1-r2.apk
2026-01-17 12:12
22M
helm-unittest-1.0.3-r2.apk
2026-01-17 12:12
12M
helmfile-1.1.8-r2.apk
2026-01-17 12:12
60M
helmfile-bash-completion-1.1.8-r2.apk
2026-01-17 12:12
6.1K
helmfile-doc-1.1.8-r2.apk
2026-01-17 12:12
2.2K
helmfile-fish-completion-1.1.8-r2.apk
2026-01-17 12:12
4.3K
helmfile-zsh-completion-1.1.8-r2.apk
2026-01-17 12:12
4.0K
hilbish-2.3.4-r11.apk
2026-01-17 12:12
3.6M
hilbish-doc-2.3.4-r11.apk
2026-01-17 12:12
25K
hub-2.14.2-r37.apk
2026-01-17 12:12
2.9M
hub-bash-completion-2.14.2-r37.apk
2026-01-17 12:12
4.6K
hub-doc-2.14.2-r37.apk
2026-01-17 12:12
42K
hub-fish-completion-2.14.2-r37.apk
2026-01-17 12:12
3.3K
hub-zsh-completion-2.14.2-r37.apk
2026-01-17 12:12
3.7K
hubble-cli-0.13.6-r11.apk
2026-01-17 12:12
18M
hubble-cli-bash-completion-0.13.6-r11.apk
2026-01-17 12:12
5.1K
hubble-cli-fish-completion-0.13.6-r11.apk
2026-01-17 12:12
4.3K
hubble-cli-zsh-completion-0.13.6-r11.apk
2026-01-17 12:12
4.1K
ijq-1.2.0-r3.apk
2026-01-17 12:12
1.5M
ijq-doc-1.2.0-r3.apk
2026-01-17 12:12
3.6K
imapgoose-0.4.1-r2.apk
2026-01-17 12:12
2.5M
imapgoose-doc-0.4.1-r2.apk
2026-01-17 12:12
5.7K
imapgoose-openrc-0.4.1-r2.apk
2026-01-17 12:12
1.7K
imapgoose-systemd-0.4.1-r2.apk
2026-01-17 12:12
1.8K
imgdiff-1.0.2-r32.apk
2026-01-17 12:12
1.0M
imgdiff-doc-1.0.2-r32.apk
2026-01-17 12:12
2.3K
invidtui-0.4.6-r11.apk
2026-01-17 12:12
4.1M
ipp-usb-0.9.30-r6.apk
2026-01-17 12:12
2.5M
ipp-usb-doc-0.9.30-r6.apk
2026-01-17 12:12
8.8K
ipp-usb-openrc-0.9.30-r6.apk
2026-01-17 12:12
1.7K
ircdog-0.5.4-r11.apk
2026-01-17 12:12
2.5M
jackal-0.64.0-r21.apk
2026-01-17 12:12
12M
jackal-openrc-0.64.0-r21.apk
2026-01-17 12:12
1.8K
jfrog-cli-2.45.0-r18.apk
2026-01-17 12:12
9.5M
jsonnet-bundler-0.6.0-r11.apk
2026-01-17 12:12
3.3M
jsonnet-language-server-0.16.0-r2.apk
2026-01-17 12:12
4.6M
k3sup-0.13.6-r11.apk
2026-01-17 12:12
2.7M
k3sup-bash-completion-0.13.6-r11.apk
2026-01-17 12:12
5.0K
k3sup-fish-completion-0.13.6-r11.apk
2026-01-17 12:12
4.3K
k3sup-zsh-completion-0.13.6-r11.apk
2026-01-17 12:12
4.0K
kapow-0.7.1-r19.apk
2026-01-17 12:12
3.7M
keybase-client-6.2.8-r16.apk
2026-01-17 12:12
18M
khinsider-2.0.7-r26.apk
2026-01-17 12:12
3.6M
kine-0.10.1-r19.apk
2026-01-17 12:12
8.1M
kine-doc-0.10.1-r19.apk
2026-01-17 12:12
5.1K
knative-client-1.19.6-r2.apk
2026-01-17 12:12
24M
knative-client-bash-completion-1.19.6-r2.apk
2026-01-17 12:12
10K
knative-client-fish-completion-1.19.6-r2.apk
2026-01-17 12:12
4.3K
knative-client-zsh-completion-1.19.6-r2.apk
2026-01-17 12:12
4.0K
ko-0.17.1-r11.apk
2026-01-17 12:12
11M
ko-bash-completion-0.17.1-r11.apk
2026-01-17 12:12
5.0K
ko-fish-completion-0.17.1-r11.apk
2026-01-17 12:12
4.3K
ko-zsh-completion-0.17.1-r11.apk
2026-01-17 12:12
4.0K
kompose-1.31.2-r16.apk
2026-01-17 12:12
7.4M
kompose-bash-completion-1.31.2-r16.apk
2026-01-17 12:12
5.6K
kompose-fish-completion-1.31.2-r16.apk
2026-01-17 12:12
4.4K
kompose-zsh-completion-1.31.2-r16.apk
2026-01-17 12:12
6.8K
kopia-0.22.3-r1.apk
2026-01-17 12:12
16M
kopia-bash-completion-0.22.3-r1.apk
2026-01-17 12:12
1.8K
kopia-zsh-completion-0.22.3-r1.apk
2026-01-17 12:12
1.8K
kube-no-trouble-0.7.3-r11.apk
2026-01-17 12:12
14M
kubeconform-0.7.0-r4.apk
2026-01-17 12:12
3.7M
kubectl-krew-0.4.5-r8.apk
2026-01-17 12:13
4.7M
kubectl-oidc_login-1.34.2-r2.apk
2026-01-17 12:13
5.7M
kubepug-1.7.1-r16.apk
2026-01-17 12:13
17M
kubepug-bash-completion-1.7.1-r16.apk
2026-01-17 12:13
5.1K
kubepug-fish-completion-1.7.1-r16.apk
2026-01-17 12:13
4.3K
kubepug-zsh-completion-1.7.1-r16.apk
2026-01-17 12:13
4.0K
lbb-0.10.4-r2.apk
2026-01-17 12:13
3.3M
lbb-doc-0.10.4-r2.apk
2026-01-17 12:13
20K
lefthook-2.0.4-r2.apk
2026-01-17 12:13
5.9M
lefthook-doc-2.0.4-r2.apk
2026-01-17 12:13
2.2K
legume-1.4.2-r15.apk
2026-01-17 12:13
1.5M
legume-doc-1.4.2-r15.apk
2026-01-17 12:13
12K
linkquisition-1.6.1-r11.apk
2026-01-17 12:13
12M
linuxkit-1.8.2-r2.apk
2026-01-17 12:13
14M
linuxkit-doc-1.8.2-r2.apk
2026-01-17 12:13
10K
maildir-rank-addr-1.4.1-r2.apk
2026-01-17 12:13
3.4M
maildir-rank-addr-doc-1.4.1-r2.apk
2026-01-17 12:13
2.3K
maildir2rss-0.0.7-r11.apk
2026-01-17 12:13
3.7M
mailsec-check-0_git20210729-r32.apk
2026-01-17 12:13
2.7M
makeclapman-2.4.4-r11.apk
2026-01-17 12:13
1.4M
makeclapman-doc-2.4.4-r11.apk
2026-01-17 12:13
4.2K
mangal-4.0.6-r24.apk
2026-01-17 12:13
11M
mangal-bash-completion-4.0.6-r24.apk
2026-01-17 12:13
5.0K
mangal-fish-completion-4.0.6-r24.apk
2026-01-17 12:13
3.9K
mangal-zsh-completion-4.0.6-r24.apk
2026-01-17 12:13
4.0K
mautrix-linkedin-0.2512.0-r1.apk
2026-01-17 12:13
6.8M
mautrix-linkedin-doc-0.2512.0-r1.apk
2026-01-17 12:13
13K
mautrix-linkedin-openrc-0.2512.0-r1.apk
2026-01-17 12:13
2.0K
mautrix-zulip-0.2511.0-r2.apk
2026-01-17 12:13
6.9M
mautrix-zulip-doc-0.2511.0-r2.apk
2026-01-17 12:13
13K
mautrix-zulip-openrc-0.2511.0-r2.apk
2026-01-17 12:13
2.0K
melange-0.37.5-r1.apk
2026-01-17 12:13
12M
melange-bash-completion-0.37.5-r1.apk
2026-01-17 12:13
6.7K
melange-fish-completion-0.37.5-r1.apk
2026-01-17 12:13
4.3K
melange-zsh-completion-0.37.5-r1.apk
2026-01-17 12:13
4.0K
metricbeat-9.2.0-r2.apk
2026-01-17 12:13
49M
metricbeat-openrc-9.2.0-r2.apk
2026-01-17 12:13
2.0K
mkcert-1.4.4-r25.apk
2026-01-17 12:13
1.8M
mkdotenv-0.4.9-r3.apk
2026-01-17 12:13
967K
mobroute-0.10.0-r6.apk
2026-01-17 12:13
4.7M
mobroute-doc-0.10.0-r6.apk
2026-01-17 12:13
1.3M
mods-1.8.1-r4.apk
2026-01-17 12:13
10M
mods-doc-1.8.1-r4.apk
2026-01-17 12:13
2.3K
mqtt2prometheus-0.1.7-r22.apk
2026-01-17 12:13
4.6M
msh-2.5.0-r18.apk
2026-01-17 12:13
3.0M
msh-openrc-2.5.0-r18.apk
2026-01-17 12:13
2.0K
mtail-3.2.26-r2.apk
2026-01-17 12:13
14M
mtail-openrc-3.2.26-r2.apk
2026-01-17 12:13
2.0K
mtg-2.1.7-r27.apk
2026-01-17 12:13
4.6M
mtg-openrc-2.1.7-r27.apk
2026-01-17 12:13
1.9K
net-predictable-1.5.1-r6.apk
2026-01-17 12:13
972K
net-predictable-doc-1.5.1-r6.apk
2026-01-17 12:13
2.2K
nom-2.8.0-r9.apk
2026-01-17 12:13
7.2M
nom-doc-2.8.0-r9.apk
2026-01-17 12:13
4.0K
nwg-bar-0.1.6-r16.apk
2026-01-17 12:13
1.7M
nwg-dock-0.4.3-r6.apk
2026-01-17 12:13
1.8M
nwg-look-1.0.6-r2.apk
2026-01-17 12:13
1.5M
nwg-look-doc-1.0.6-r2.apk
2026-01-17 12:13
4.2K
oauth2-proxy-7.11.0-r5.apk
2026-01-17 12:13
8.9M
oauth2-proxy-openrc-7.11.0-r5.apk
2026-01-17 12:13
2.1K
opcr-policy-0.3.1-r2.apk
2026-01-17 12:13
10M
ovhcloud-cli-0.9.0-r1.apk
2026-01-17 12:13
7.5M
packwiz-0_git20251102-r2.apk
2026-01-17 12:13
4.8M
packwiz-doc-0_git20251102-r2.apk
2026-01-17 12:13
2.3K
pathvector-6.3.2-r19.apk
2026-01-17 12:13
4.1M
plakar-1.0.6-r2.apk
2026-01-17 12:13
19M
plakar-doc-1.0.6-r2.apk
2026-01-17 12:13
2.1K
pomo-0.8.1-r29.apk
2026-01-17 12:13
1.7M
pomo-doc-0.8.1-r29.apk
2026-01-17 12:13
2.7K
popeye-0.22.1-r10.apk
2026-01-17 12:13
28M
prometheus-ceph-exporter-4.2.5-r10.apk
2026-01-17 12:13
3.7M
Ceph
prometheus-ceph-exporter-openrc-4.2.5-r10.apk
2026-01-17 12:13
1.9K
Ceph
prometheus-fastly-exporter-10.2.0-r1.apk
2026-01-17 12:13
4.9M
prometheus-opnsense-exporter-0.0.11-r3.apk
2026-01-17 12:13
4.9M
prometheus-opnsense-exporter-openrc-0.0.11-r3.apk
2026-01-17 12:13
2.1K
prometheus-rethinkdb-exporter-1.0.1-r34.apk
2026-01-17 12:13
4.3M
prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk
2026-01-17 12:13
1.7K
prometheus-smartctl-exporter-0.14.0-r6.apk
2026-01-17 12:13
4.8M
prometheus-smartctl-exporter-openrc-0.14.0-r6.apk
2026-01-17 12:13
1.9K
prometheus-smtp2go-exporter-0.1.1-r5.apk
2026-01-17 12:13
3.5M
prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk
2026-01-17 12:13
2.0K
protoc-gen-bq-schema-3.1.0-r1.apk
2026-01-17 12:13
1.7M
protoc-gen-doc-1.5.1-r1.apk
2026-01-17 12:13
3.6M
protoc-gen-doc-doc-1.5.1-r1.apk
2026-01-17 12:13
2.3K
protoc-gen-go-1.36.11-r1.apk
2026-01-17 12:13
2.4M
protoc-gen-go-grpc-1.77.0-r1.apk
2026-01-17 12:13
2.3M
protoc-gen-gorm-1.1.5-r1.apk
2026-01-17 12:13
2.5M
protoconf-0.1.7-r19.apk
2026-01-17 12:13
7.8M
pug-0.6.5-r1.apk
2026-01-17 12:13
3.6M
pyonji-0.1.0-r12.apk
2026-01-17 12:13
2.9M
qbittorrent-cli-2.2.0-r6.apk
2026-01-17 12:13
5.8M
reg-0.16.1-r34.apk
2026-01-17 12:13
4.7M
regal-0.36.1-r2.apk
2026-01-17 12:13
12M
regal-bash-completion-0.36.1-r2.apk
2026-01-17 12:13
6.1K
regal-fish-completion-0.36.1-r2.apk
2026-01-17 12:13
4.3K
regal-zsh-completion-0.36.1-r2.apk
2026-01-17 12:13
4.0K
regclient-0.11.1-r1.apk
2026-01-17 12:13
14M
remco-0.12.5-r6.apk
2026-01-17 12:13
10M
remco-doc-0.12.5-r6.apk
2026-01-17 12:13
2.3K
remco-openrc-0.12.5-r6.apk
2026-01-17 12:13
1.7K
rke-1.4.3-r21.apk
2026-01-17 12:13
20M
rke-doc-1.4.3-r21.apk
2026-01-17 12:13
3.0K
ruby-byebug-13.0.0-r0.apk
2026-01-17 12:13
127K
ruuvi-prometheus-0.1.9-r8.apk
2026-01-17 12:13
3.4M
ruuvi-prometheus-openrc-0.1.9-r8.apk
2026-01-17 12:13
1.7K
s5cmd-2.3.0-r9.apk
2026-01-17 12:13
5.2M
satellite-1.0.0-r34.apk
2026-01-17 12:13
2.3M
satellite-doc-1.0.0-r34.apk
2026-01-17 12:13
3.0K
satellite-openrc-1.0.0-r34.apk
2026-01-17 12:13
1.9K
scalingo-1.30.0-r16.apk
2026-01-17 12:13
5.5M
seaweedfs-3.93-r5.apk
2026-01-17 12:13
27M
seaweedfs-doc-3.93-r5.apk
2026-01-17 12:13
14K
seaweedfs-openrc-3.93-r5.apk
2026-01-17 12:13
1.9K
secsipidx-1.3.2-r18.apk
2026-01-17 12:13
2.8M
secsipidx-dev-1.3.2-r18.apk
2026-01-17 12:13
5.5M
secsipidx-libs-1.3.2-r18.apk
2026-01-17 12:13
2.5M
semaphoreui-2.16.37-r2.apk
2026-01-17 12:13
16M
semaphoreui-bash-completion-2.16.37-r2.apk
2026-01-17 12:13
6.1K
semaphoreui-doc-2.16.37-r2.apk
2026-01-17 12:13
2.3K
semaphoreui-fish-completion-2.16.37-r2.apk
2026-01-17 12:13
4.3K
semaphoreui-openrc-2.16.37-r2.apk
2026-01-17 12:13
2.1K
semaphoreui-zsh-completion-2.16.37-r2.apk
2026-01-17 12:13
4.0K
sipexer-1.2.0-r9.apk
2026-01-17 12:13
2.9M
snippets-ls-0.0.4_git20240617-r11.apk
2026-01-17 12:13
1.4M
sonicradio-0.8.12-r1.apk
2026-01-17 12:13
4.5M
sos-0.8-r37.apk
2026-01-17 12:13
2.8M
spacectl-1.12.0-r7.apk
2026-01-17 12:13
5.9M
spacectl-bash-completion-1.12.0-r7.apk
2026-01-17 12:13
2.1K
spacectl-doc-1.12.0-r7.apk
2026-01-17 12:13
2.3K
spacectl-fish-completion-1.12.0-r7.apk
2026-01-17 12:13
7.1K
spacectl-zsh-completion-1.12.0-r7.apk
2026-01-17 12:13
1.8K
speedtest-go-1.1.5-r21.apk
2026-01-17 12:13
5.6M
speedtest-go-doc-1.1.5-r21.apk
2026-01-17 12:13
4.5K
speedtest-go-openrc-1.1.5-r21.apk
2026-01-17 12:13
1.7K
speedtest_exporter-0.3.2-r21.apk
2026-01-17 12:13
4.0M
speedtest_exporter-openrc-0.3.2-r21.apk
2026-01-17 12:13
1.9K
ssh-get-id-0.2.0-r1.apk
2026-01-17 12:13
2.4M
ssh-get-id-doc-0.2.0-r1.apk
2026-01-17 12:13
2.3K
sshm-1.10.0-r1.apk
2026-01-17 12:13
4.0M
sshm-doc-1.10.0-r1.apk
2026-01-17 12:13
2.3K
sshsrv-1.0-r18.apk
2026-01-17 12:13
1.0M
stayrtr-0.6.3-r2.apk
2026-01-17 12:13
11M
stayrtr-openrc-0.6.3-r2.apk
2026-01-17 12:13
2.0K
stern-1.33.0-r2.apk
2026-01-17 12:13
19M
stern-bash-completion-1.33.0-r2.apk
2026-01-17 12:13
5.8K
stern-fish-completion-1.33.0-r2.apk
2026-01-17 12:13
4.3K
stern-zsh-completion-1.33.0-r2.apk
2026-01-17 12:13
4.0K
tangctl-0_git20241007-r10.apk
2026-01-17 12:13
2.7M
tanka-0.35.0-r2.apk
2026-01-17 12:13
7.3M
taskcafe-0.3.6-r19.apk
2026-01-17 12:13
14M
taskcafe-openrc-0.3.6-r19.apk
2026-01-17 12:13
1.8K
templ-0.3.960-r2.apk
2026-01-17 12:13
5.5M
tenv-4.9.0-r2.apk
2026-01-17 12:13
9.8M
tenv-bash-completion-4.9.0-r2.apk
2026-01-17 12:13
6.1K
tenv-fish-completion-4.9.0-r2.apk
2026-01-17 12:13
4.3K
tenv-zsh-completion-4.9.0-r2.apk
2026-01-17 12:13
4.0K
tfupdate-0.8.2-r12.apk
2026-01-17 12:13
5.0M
tfupdate-doc-0.8.2-r12.apk
2026-01-17 12:13
2.3K
ticker-5.0.7-r8.apk
2026-01-17 12:13
3.6M
ticker-bash-completion-5.0.7-r8.apk
2026-01-17 12:13
6.1K
ticker-fish-completion-5.0.7-r8.apk
2026-01-17 12:13
4.3K
ticker-zsh-completion-5.0.7-r8.apk
2026-01-17 12:13
4.1K
timoni-0.23.0-r11.apk
2026-01-17 12:13
24M
timoni-bash-completion-0.23.0-r11.apk
2026-01-17 12:13
8.0K
timoni-doc-0.23.0-r11.apk
2026-01-17 12:13
338K
timoni-fish-completion-0.23.0-r11.apk
2026-01-17 12:13
4.3K
timoni-zsh-completion-0.23.0-r11.apk
2026-01-17 12:13
4.0K
tmpl-0.4.0-r17.apk
2026-01-17 12:13
2.7M
tmpl-doc-0.4.0-r17.apk
2026-01-17 12:13
2.3K
tqm-1.18.0-r1.apk
2026-01-17 12:13
4.7M
transito-0.10.0-r1.apk
2026-01-17 12:13
8.7M
transito-doc-0.10.0-r1.apk
2026-01-17 12:13
756K
trivy-0.68.2-r1.apk
2026-01-17 12:13
68M
tty-proxy-0.0.2-r34.apk
2026-01-17 12:13
2.6M
tty-share-2.4.0-r24.apk
2026-01-17 12:13
3.8M
undock-0.10.0-r6.apk
2026-01-17 12:13
10M
up-0.4-r35.apk
2026-01-17 12:13
1.2M
upterm-0.17.0-r2.apk
2026-01-17 12:13
7.2M
upterm-bash-completion-0.17.0-r2.apk
2026-01-17 12:13
5.6K
upterm-doc-0.17.0-r2.apk
2026-01-17 12:13
7.1K
upterm-server-0.17.0-r2.apk
2026-01-17 12:13
6.8M
upterm-server-openrc-0.17.0-r2.apk
2026-01-17 12:13
2.0K
upterm-zsh-completion-0.17.0-r2.apk
2026-01-17 12:13
4.0K
usql-0.19.26-r2.apk
2026-01-17 12:13
45M
vals-0.42.4-r2.apk
2026-01-17 12:13
37M
virtctl-1.6.2-r2.apk
2026-01-17 12:13
15M
virtctl-bash-completion-1.6.2-r2.apk
2026-01-17 12:13
5.1K
virtctl-fish-completion-1.6.2-r2.apk
2026-01-17 12:13
4.3K
virtctl-zsh-completion-1.6.2-r2.apk
2026-01-17 12:13
4.0K
virter-0.29.0-r6.apk
2026-01-17 12:13
5.9M
virter-bash-completion-0.29.0-r6.apk
2026-01-17 12:13
6.1K
virter-doc-0.29.0-r6.apk
2026-01-17 12:13
15K
virter-fish-completion-0.29.0-r6.apk
2026-01-17 12:13
4.3K
virter-zsh-completion-0.29.0-r6.apk
2026-01-17 12:13
4.0K
walk-1.13.0-r9.apk
2026-01-17 12:13
3.2M
walk-doc-1.13.0-r9.apk
2026-01-17 12:13
2.2K
webhookd-1.20.2-r6.apk
2026-01-17 12:13
3.3M
webhookd-doc-1.20.2-r6.apk
2026-01-17 12:13
2.3K
webhookd-openrc-1.20.2-r6.apk
2026-01-17 12:13
2.2K
webtunnel-0.0.2-r7.apk
2026-01-17 12:13
3.7M
wgcf-2.2.29-r2.apk
2026-01-17 12:13
4.7M
wgcf-bash-completion-2.2.29-r2.apk
2026-01-17 12:13
6.1K
wgcf-fish-completion-2.2.29-r2.apk
2026-01-17 12:13
4.3K
wgcf-zsh-completion-2.2.29-r2.apk
2026-01-17 12:13
4.0K
wl-gammarelay-0.1.3-r5.apk
2026-01-17 12:13
1.7M
wtfutil-0.43.0-r19.apk
2026-01-17 12:13
20M
xmpp-dns-0.2.4-r30.apk
2026-01-17 12:13
1.9M
xwayland-satellite-0.8-r0.apk
2026-01-17 12:13
1.3M
yaegi-0.16.1-r14.apk
2026-01-17 12:13
7.5M
yamldiff-0.3.0-r4.apk
2026-01-17 12:13
1.7M
yamldiff-doc-0.3.0-r4.apk
2026-01-17 12:13
2.3K
ytt-0.52.1-r3.apk
2026-01-17 12:13
4.7M
yubikey-agent-0.1.6-r18.apk
2026-01-17 12:13
1.9M
zot-2.1.8-r3.apk
2026-01-17 12:13
77M
zot-cli-2.1.8-r3.apk
2026-01-17 12:13
10M
zot-cli-bash-completion-2.1.8-r3.apk
2026-01-17 12:13
6.1K
zot-cli-fish-completion-2.1.8-r3.apk
2026-01-17 12:13
4.3K
zot-cli-zsh-completion-2.1.8-r3.apk
2026-01-17 12:13
4.0K
zot-doc-2.1.8-r3.apk
2026-01-17 12:13
9.4K
zot-exporter-2.1.8-r3.apk
2026-01-17 12:13
4.0M
zot-openrc-2.1.8-r3.apk
2026-01-17 12:13
2.0K
qadwaitadecorations-0.1.7-r2.apk
2026-01-17 13:01
50K
dmarc-cat-0.15.0-r12.apk
2026-01-17 13:26
2.8M
prometheus-podman-exporter-1.18.1-r4.apk
2026-01-17 13:26
15M
py3-pygpgme-0.3.1-r10.apk
2026-01-17 13:26
39K
py3-pygpgme-pyc-0.3.1-r10.apk
2026-01-17 13:26
5.1K
ripasso-cursive-0.7.0-r1.apk
2026-01-17 13:26
3.3M
pnmixer-0.7.2-r4.apk
2026-01-17 15:51
140K
pnmixer-doc-0.7.2-r4.apk
2026-01-17 15:51
2.3K
pnmixer-lang-0.7.2-r4.apk
2026-01-17 15:51
25K
shntool-3.0.10-r6.apk
2026-01-17 17:18
64K
shntool-doc-3.0.10-r6.apk
2026-01-17 17:18
10K
boost1.89-1.89.0-r1.apk
2026-01-17 20:18
728K
boost1.89-atomic-1.89.0-r1.apk
2026-01-17 20:18
7.4K
boost1.89-chrono-1.89.0-r1.apk
2026-01-17 20:18
15K
boost1.89-container-1.89.0-r1.apk
2026-01-17 20:18
41K
boost1.89-context-1.89.0-r1.apk
2026-01-17 20:18
4.0K
boost1.89-contract-1.89.0-r1.apk
2026-01-17 20:18
37K
boost1.89-coroutine-1.89.0-r1.apk
2026-01-17 20:18
7.1K
boost1.89-date_time-1.89.0-r1.apk
2026-01-17 20:18
2.9K
boost1.89-dev-1.89.0-r1.apk
2026-01-17 20:18
17M
boost1.89-doc-1.89.0-r1.apk
2026-01-17 20:18
2.4K
boost1.89-fiber-1.89.0-r1.apk
2026-01-17 20:18
34K
boost1.89-filesystem-1.89.0-r1.apk
2026-01-17 20:18
69K
boost1.89-graph-1.89.0-r1.apk
2026-01-17 20:18
189K
boost1.89-iostreams-1.89.0-r1.apk
2026-01-17 20:18
32K
boost1.89-json-1.89.0-r1.apk
2026-01-17 20:18
183K
boost1.89-libs-1.89.0-r1.apk
2026-01-17 20:18
658K
boost1.89-locale-1.89.0-r1.apk
2026-01-17 20:18
358K
boost1.89-log-1.89.0-r1.apk
2026-01-17 20:18
331K
boost1.89-log_setup-1.89.0-r1.apk
2026-01-17 20:18
371K
boost1.89-nowide-1.89.0-r1.apk
2026-01-17 20:18
7.2K
boost1.89-prg_exec_monitor-1.89.0-r1.apk
2026-01-17 20:18
33K
boost1.89-process-1.89.0-r1.apk
2026-01-17 20:18
28K
boost1.89-program_options-1.89.0-r1.apk
2026-01-17 20:18
167K
boost1.89-python3-1.89.0-r1.apk
2026-01-17 20:18
103K
boost1.89-random-1.89.0-r1.apk
2026-01-17 20:18
14K
boost1.89-regex-1.89.0-r1.apk
2026-01-17 20:18
166K
boost1.89-serialization-1.89.0-r1.apk
2026-01-17 20:18
97K
boost1.89-static-1.89.0-r1.apk
2026-01-17 20:18
7.0M
boost1.89-thread-1.89.0-r1.apk
2026-01-17 20:18
46K
boost1.89-timer-1.89.0-r1.apk
2026-01-17 20:18
8.0K
boost1.89-type_erasure-1.89.0-r1.apk
2026-01-17 20:18
22K
boost1.89-unit_test_framework-1.89.0-r1.apk
2026-01-17 20:18
307K
boost1.89-url-1.89.0-r1.apk
2026-01-17 20:18
129K
boost1.89-wave-1.89.0-r1.apk
2026-01-17 20:18
381K
boost1.89-wserialization-1.89.0-r1.apk
2026-01-17 20:18
69K
burp-3.2.0-r0.apk
2026-01-18 02:41
172K
burp-doc-3.2.0-r0.apk
2026-01-18 02:41
96K
burp-server-3.2.0-r0.apk
2026-01-18 02:41
36K
octoprint-1.11.5-r0.apk
2026-01-18 07:01
3.1M
octoprint-openrc-1.11.5-r0.apk
2026-01-18 07:01
1.8K
octoprint-pyc-1.11.5-r0.apk
2026-01-18 07:01
1.3M
py3-requests-cache-1.2.1-r2.apk
2026-01-18 08:49
50K
py3-requests-cache-pyc-1.2.1-r2.apk
2026-01-18 08:49
94K
kubeseal-0.34.0-r0.apk
2026-01-18 18:00
12M
kubeseal-doc-0.34.0-r0.apk
2026-01-18 18:00
5.5K
argocd-3.2.5-r0.apk
2026-01-18 18:20
42M
argocd-bash-completion-3.2.5-r0.apk
2026-01-18 18:20
22K
argocd-doc-3.2.5-r0.apk
2026-01-18 18:20
5.5K
argocd-zsh-completion-3.2.5-r0.apk
2026-01-18 18:20
4.0K
neard-0.19-r1.apk
2026-01-18 18:55
142K
neard-dev-0.19-r1.apk
2026-01-18 18:55
11K
neard-doc-0.19-r1.apk
2026-01-18 18:55
5.6K
neard-openrc-0.19-r1.apk
2026-01-18 18:55
1.7K
warpinator-2.0.3-r0.apk
2026-01-18 19:13
225K
warpinator-lang-2.0.3-r0.apk
2026-01-18 19:13
273K
warpinator-nemo-2.0.3-r0.apk
2026-01-18 19:13
4.6K
gesture-0.8-r0.apk
2026-01-19 14:19
13K
gesture-openrc-0.8-r0.apk
2026-01-19 14:19
1.7K
xone-src-0.5.2-r0.apk
2026-01-19 15:52
58K
py3-pdal-3.5.3-r0.apk
2026-01-19 16:04
197K
py3-pdal-pyc-3.5.3-r0.apk
2026-01-19 16:04
14K
ansifilter-2.22-r0.apk
2026-01-19 17:10
67K
ansifilter-bash-completion-2.22-r0.apk
2026-01-19 17:10
2.2K
ansifilter-doc-2.22-r0.apk
2026-01-19 17:10
22K
ansifilter-fish-completion-2.22-r0.apk
2026-01-19 17:10
2.5K
ansifilter-zsh-completion-2.22-r0.apk
2026-01-19 17:10
2.5K
avahi2dns-0.1.0-r9.apk
2026-01-20 02:09
2.5M
avahi2dns-openrc-0.1.0-r9.apk
2026-01-20 02:09
1.8K
avahi2dns-systemd-0.1.0-r9.apk
2026-01-20 02:09
1.8K
materia-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-compact-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-compact-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-compact-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-compact-gtk3-20210322-r4.apk
2026-01-20 04:56
63K
materia-compact-gtk4-20210322-r4.apk
2026-01-20 04:56
43K
materia-dark-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-dark-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-dark-compact-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-dark-compact-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-dark-compact-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-compact-gtk3-20210322-r4.apk
2026-01-20 04:56
40K
materia-dark-compact-gtk4-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-gtk3-20210322-r4.apk
2026-01-20 04:56
40K
materia-dark-gtk4-20210322-r4.apk
2026-01-20 04:56
29K
materia-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-gtk-theme-20210322-r4.apk
2026-01-20 04:56
139K
materia-gtk3-20210322-r4.apk
2026-01-20 04:56
63K
materia-gtk4-20210322-r4.apk
2026-01-20 04:56
43K
py3-xsdata-26.1-r0.apk
2026-01-20 11:16
185K
py3-xsdata-pyc-26.1-r0.apk
2026-01-20 11:16
392K
lua-cmark-0.31.1-r0.apk
2026-01-20 12:02
1.3K
lua5.1-cmark-0.31.1-r0.apk
2026-01-20 12:02
104K
lua5.2-cmark-0.31.1-r0.apk
2026-01-20 12:02
104K
lua5.3-cmark-0.31.1-r0.apk
2026-01-20 12:02
104K
lua5.4-cmark-0.31.1-r0.apk
2026-01-20 12:02
104K
bash-pinyin-completion-rs-1.0.4-r0.apk
2026-01-20 14:21
315K
bash-pinyin-completion-rs-doc-1.0.4-r0.apk
2026-01-20 14:21
14K
arc-20221218-r1.apk
2026-01-22 01:14
1.7K
arc-cinnamon-20221218-r1.apk
2026-01-22 01:14
67K
arc-dark-20221218-r1.apk
2026-01-22 01:14
1.7K
arc-dark-cinnamon-20221218-r1.apk
2026-01-22 01:14
67K
arc-dark-gnome-20221218-r1.apk
2026-01-22 01:14
26K
arc-dark-gtk3-20221218-r1.apk
2026-01-22 01:14
93K
arc-dark-gtk4-20221218-r1.apk
2026-01-22 01:14
86K
arc-dark-metacity-20221218-r1.apk
2026-01-22 01:14
17K
arc-dark-xfwm-20221218-r1.apk
2026-01-22 01:14
7.2K
arc-darker-20221218-r1.apk
2026-01-22 01:14
1.7K
arc-darker-gtk3-20221218-r1.apk
2026-01-22 01:14
124K
arc-darker-gtk4-20221218-r1.apk
2026-01-22 01:14
110K
arc-darker-metacity-20221218-r1.apk
2026-01-22 01:14
17K
arc-darker-xfwm-20221218-r1.apk
2026-01-22 01:14
7.2K
arc-gnome-20221218-r1.apk
2026-01-22 01:14
28K
arc-gtk3-20221218-r1.apk
2026-01-22 01:14
126K
arc-gtk4-20221218-r1.apk
2026-01-22 01:14
113K
arc-lighter-20221218-r1.apk
2026-01-22 01:14
1.8K
arc-lighter-gtk3-20221218-r1.apk
2026-01-22 01:14
125K
arc-lighter-gtk4-20221218-r1.apk
2026-01-22 01:14
113K
arc-lighter-metacity-20221218-r1.apk
2026-01-22 01:14
17K
arc-lighter-xfwm-20221218-r1.apk
2026-01-22 01:14
7.1K
arc-metacity-20221218-r1.apk
2026-01-22 01:14
17K
arc-theme-20221218-r1.apk
2026-01-22 01:14
1.3K
arc-xfwm-20221218-r1.apk
2026-01-22 01:14
7.1K
barman-3.17.0-r0.apk
2026-01-22 01:14
381K
barman-bash-completion-3.17.0-r0.apk
2026-01-22 01:14
1.6K
barman-doc-3.17.0-r0.apk
2026-01-22 01:14
89K
barman-pyc-3.17.0-r0.apk
2026-01-22 01:14
599K
heh-0.6.3-r0.apk
2026-01-22 01:14
506K
heh-doc-0.6.3-r0.apk
2026-01-22 01:14
4.1K
mangowc-0.11.0-r0.apk
2026-01-22 01:14
127K
nb-7.24.0-r0.apk
2026-01-22 01:14
157K
nb-bash-completion-7.24.0-r0.apk
2026-01-22 01:14
3.0K
nb-doc-7.24.0-r0.apk
2026-01-22 01:14
79K
nb-fish-completion-7.24.0-r0.apk
2026-01-22 01:14
2.8K
nb-full-7.24.0-r0.apk
2026-01-22 01:14
1.3K
nb-zsh-completion-7.24.0-r0.apk
2026-01-22 01:14
2.9K
stalwart-cli-0.15.4-r3.apk
2026-01-22 01:14
2.0M
stalwart-mail-0.15.4-r3.apk
2026-01-22 01:14
18M
stalwart-mail-openrc-0.15.4-r3.apk
2026-01-22 01:14
2.1K
warp-s3-1.4.0-r0.apk
2026-01-22 01:14
8.1M
naabu-2.4.0-r0.apk
2026-01-22 01:16
12M
naabu-doc-2.4.0-r0.apk
2026-01-22 01:16
2.3K
kubetail-0.11.0-r0.apk
2026-01-22 01:24
33M
katana-1.4.0-r0.apk
2026-01-22 01:25
17M
katana-doc-1.4.0-r0.apk
2026-01-22 01:25
2.3K
comrak-0.50.0-r0.apk
2026-01-22 12:45
1.1M
comrak-doc-0.50.0-r0.apk
2026-01-22 12:45
11K
apx-3.0.1-r0.apk
2026-01-22 16:14
2.2M
apx-doc-3.0.1-r0.apk
2026-01-22 16:14
2.0K
httpx-1.8.1-r0.apk
2026-01-22 18:41
19M
httpx-doc-1.8.1-r0.apk
2026-01-22 18:41
2.3K
rollup-4.55.2-r0.apk
2026-01-22 23:41
1.0M
rollup-doc-4.55.2-r0.apk
2026-01-22 23:41
4.3K
openterface-qt-0.5.11-r0.apk
2026-01-22 23:43
1.2M
lua-markdown-0.33-r0.apk
2026-01-23 00:17
11K
lua-markdown-doc-0.33-r0.apk
2026-01-23 00:17
2.3K
lua-pkgbuild-1.0.0-r0.apk
2026-01-23 00:22
3.6K
lua-mqtt-3.4.3-r0.apk
2026-01-23 00:24
26K
lua5.1-mqtt-3.4.3-r0.apk
2026-01-23 00:24
1.3K
lua-apkbuild-1.1.0-r0.apk
2026-01-23 00:25
4.5K
lua-dkjson-2.8-r0.apk
2026-01-23 00:28
8.6K
perl-data-censor-0.04-r0.apk
2026-01-23 00:42
4.9K
perl-data-censor-doc-0.04-r0.apk
2026-01-23 00:42
5.0K
py3-apsw-3.51.2.0-r0.apk
2026-01-23 08:01
931K
py3-apsw-pyc-3.51.2.0-r0.apk
2026-01-23 08:01
586K
cargo-show-asm-0.2.55-r0.apk
2026-01-23 10:54
917K
cargo-show-asm-doc-0.2.55-r0.apk
2026-01-23 10:54
10K
buildbot-4.3.0-r1.apk
2026-01-23 17:20
736K
buildbot-badges-4.3.0-r1.apk
2026-01-23 17:20
9.3K
buildbot-console-view-4.3.0-r1.apk
2026-01-23 17:20
23K
buildbot-grid-view-4.3.0-r1.apk
2026-01-23 17:20
11K
buildbot-pyc-4.3.0-r1.apk
2026-01-23 17:20
1.5M
buildbot-waterfall-view-4.3.0-r1.apk
2026-01-23 17:20
34K
buildbot-worker-4.3.0-r1.apk
2026-01-23 17:20
191K
buildbot-wsgi-dashboards-4.3.0-r1.apk
2026-01-23 17:20
426K
buildbot-www-4.3.0-r1.apk
2026-01-23 17:20
422K
manifest-tool-2.2.1-r0.apk
2026-01-23 17:24
4.8M
polyglot-2.0.4-r2.apk
2026-01-23 19:17
66K
polyglot-doc-2.0.4-r2.apk
2026-01-23 19:17
47K
opa-1.12.3-r0.apk
2026-01-24 09:32
13M
opa-bash-completion-1.12.3-r0.apk
2026-01-24 09:32
6.1K
opa-doc-1.12.3-r0.apk
2026-01-24 09:32
23K
opa-fish-completion-1.12.3-r0.apk
2026-01-24 09:32
4.3K
opa-zsh-completion-1.12.3-r0.apk
2026-01-24 09:32
4.0K
perl-dancer2-2.0.1-r0.apk
2026-01-24 10:27
148K
perl-dancer2-doc-2.0.1-r0.apk
2026-01-24 10:27
319K
emmylua-check-0.19.0-r0.apk
2026-01-24 12:54
2.1M
emmylua-doc-cli-0.19.0-r0.apk
2026-01-24 12:54
2.6M
emmylua-ls-0.19.0-r0.apk
2026-01-24 12:54
3.1M
emmylua-ls-doc-0.19.0-r0.apk
2026-01-24 12:54
37K
baresip-4.1.0-r1.apk
2026-01-24 12:59
1.1M
baresip-dev-4.1.0-r1.apk
2026-01-24 12:59
16K
libre-4.4.0-r0.apk
2026-01-24 12:59
303K
libre-dev-4.4.0-r0.apk
2026-01-24 12:59
475K
py3-saltext-s3fs-1.0.0-r0.apk
2026-01-24 13:54
16K
py3-saltext-s3fs-pyc-1.0.0-r0.apk
2026-01-24 13:54
16K
predoc-0.2.3-r0.apk
2026-01-24 13:59
374K
predoc-doc-0.2.3-r0.apk
2026-01-24 13:59
11K
naken_asm-0_git20240726-r0.apk
2026-01-24 14:01
1.0M
naken_asm-dev-0_git20240726-r0.apk
2026-01-24 14:01
89K
mint-themes-2.3.7-r1.apk
2026-01-24 14:18
4.8M
mint-themes-doc-2.3.7-r1.apk
2026-01-24 14:18
15K
mint-x-theme-2.3.7-r1.apk
2026-01-24 14:18
2.8K
mint-x-theme-gtk3-2.3.7-r1.apk
2026-01-24 14:18
597K
mint-x-theme-gtk4-2.3.7-r1.apk
2026-01-24 14:18
503K
mint-x-theme-metacity-2.3.7-r1.apk
2026-01-24 14:18
6.0K
mint-x-theme-xfwm4-2.3.7-r1.apk
2026-01-24 14:18
31K
mint-y-theme-2.3.7-r1.apk
2026-01-24 14:18
3.5K
mint-y-theme-gtk3-2.3.7-r1.apk
2026-01-24 14:18
2.0M
mint-y-theme-gtk4-2.3.7-r1.apk
2026-01-24 14:18
1.7M
mint-y-theme-metacity-2.3.7-r1.apk
2026-01-24 14:18
55K
mint-y-theme-xfwm4-2.3.7-r1.apk
2026-01-24 14:18
206K
keyboard-backlight-1.4.0_git20220127-r0.apk
2026-01-24 19:30
137K
capsudo-0.1.3-r0.apk
2026-01-25 10:16
15K
capsudo-dbg-0.1.3-r0.apk
2026-01-25 10:16
40K
capsudo-doc-0.1.3-r0.apk
2026-01-25 10:16
8.4K
capsudo-openrc-0.1.3-r0.apk
2026-01-25 10:16
3.3K
clementine-1.4.1_git20260109-r1.apk
2026-01-25 11:51
6.6M
dnscontrol-4.32.0-r0.apk
2026-01-25 18:41
17M
dnscontrol-doc-4.32.0-r0.apk
2026-01-25 18:41
2.3K
vali-0.1.1-r0.apk
2026-01-25 18:56
31K
vali-dev-0.1.1-r0.apk
2026-01-25 18:56
4.3K
crowdsec-1.7.6-r0.apk
2026-01-25 19:05
40M
crowdsec-email-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.4M
crowdsec-file-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.3M
crowdsec-http-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.3M
crowdsec-openrc-1.7.6-r0.apk
2026-01-25 19:05
1.8K
crowdsec-sentinel-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.3M
crowdsec-slack-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.4M
crowdsec-splunk-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.3M
lomiri-content-hub-2.2.2-r0.apk
2026-01-25 21:15
290K
lomiri-content-hub-dev-2.2.2-r0.apk
2026-01-25 21:15
11K
lomiri-content-hub-doc-2.2.2-r0.apk
2026-01-25 21:15
1.4M
lomiri-content-hub-lang-2.2.2-r0.apk
2026-01-25 21:15
47K
lomiri-schemas-0.1.10-r0.apk
2026-01-25 21:15
10K
rescrobbled-0.8.0-r0.apk
2026-01-25 23:23
664K
rescrobbled-doc-0.8.0-r0.apk
2026-01-25 23:23
14K
rescrobbled-openrc-0.8.0-r0.apk
2026-01-25 23:23
1.7K
nitro-init-0.7.1-r0.apk
2026-01-26 11:49
23K
nitro-init-doc-0.7.1-r0.apk
2026-01-26 11:49
4.6K
lomiri-ui-toolkit-1.3.5902-r0.apk
2026-01-26 13:57
1.3M
lomiri-ui-toolkit-dev-1.3.5902-r0.apk
2026-01-26 13:57
170K
lomiri-ui-toolkit-lang-1.3.5902-r0.apk
2026-01-26 13:57
99K
wifitui-0.10.0-r0.apk
2026-01-26 14:23
2.2M
wifitui-doc-0.10.0-r0.apk
2026-01-26 14:23
2.2K
genact-1.5.1-r0.apk
2026-01-26 15:25
1.7M
py3-trimesh-4.11.1-r0.apk
2026-01-26 15:40
680K
py3-trimesh-pyc-4.11.1-r0.apk
2026-01-26 15:40
795K
cariddi-1.4.5-r0.apk
2026-01-26 17:52
4.4M
tempo-2.10.0-r0.apk
2026-01-27 11:39
32M
tempo-cli-2.10.0-r0.apk
2026-01-27 11:39
23M
tempo-openrc-2.10.0-r0.apk
2026-01-27 11:39
1.9K
tempo-query-2.10.0-r0.apk
2026-01-27 11:39
6.9M
tempo-vulture-2.10.0-r0.apk
2026-01-27 11:39
18M
tempo-vulture-openrc-2.10.0-r0.apk
2026-01-27 11:39
2.0K
mapnik-4.1.4-r2.apk
2026-01-27 11:45
12M
mapnik-dev-4.1.4-r2.apk
2026-01-27 11:45
487K
mapnik-doc-4.1.4-r2.apk
2026-01-27 11:45
143K
mkbrr-1.20.0-r0.apk
2026-01-27 11:45
4.2M
hunspell-ca-es-3.0.7-r1.apk
2026-01-27 11:47
734K
acmeleaf-0.2.2-r0.apk
2026-01-27 13:29
5.1M
acmeleaf-doc-0.2.2-r0.apk
2026-01-27 13:29
5.3K
b2-tools-4.5.1-r0.apk
2026-01-27 15:00
74K
b2-tools-pyc-4.5.1-r0.apk
2026-01-27 15:00
139K
arahli-0.1.5-r0.apk
2026-01-27 15:11
1.1M
arahli-doc-0.1.5-r0.apk
2026-01-27 15:11
2.7K
dacnis-0.2.1-r0.apk
2026-01-27 15:13
2.2M
dacnis-doc-0.2.1-r0.apk
2026-01-27 15:13
2.4K
gnome-mahjongg-49.1.1-r0.apk
2026-01-27 16:18
2.4M
gnome-mahjongg-doc-49.1.1-r0.apk
2026-01-27 16:18
2.2K
gnome-mahjongg-lang-49.1.1-r0.apk
2026-01-27 16:18
172K
protoc-gen-openapi-0.4.0-r0.apk
2026-01-27 17:04
4.6M
APKINDEX.tar.gz
2026-01-27 19:27
615K
php83-pecl-ev-1.2.3-r0.apk
2026-01-27 19:27
45K
php84-pecl-ev-1.2.3-r0.apk
2026-01-27 19:27
45K
php85-pecl-ev-1.2.3-r0.apk
2026-01-27 19:27
46K